四人抢答器课程设计报告

合集下载

四人抢答器设计报告

四人抢答器设计报告

四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。

当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。

当选手回答正确时加分,回答错误时减分。

由主持人控制加减分数。

三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。

将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。

再利用元件例化语句将这四个模块组成总的抢答器的设计电路。

选用模式五进行程序的下载。

四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。

课程设计(四人抢答器)实验报告

课程设计(四人抢答器)实验报告

课程设计(四人抢答器)实验报告课题:四人智力抢答器专业:班级:学号:姓名:指导教师:设计日期:成绩:电气学院四人智力抢答器设计报告一、设计目的作用1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计要求设计一台可供4名选手参加比赛的智力竞赛抢答器。

当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。

(1) 4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。

(3) 抢答器具有数据锁存的功能。

抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其他选手抢答。

抢答选手的指示灯一直保持到主持人将系统清零为止。

(4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。

三、设计的具体实现1、系统概述电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。

当有选手抢答时首先锁存,防止其他选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图:(1)以锁存其为中心的编码显示器抢答信号的判断和锁存可以采用触发器或锁存器。

若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。

其真值表为:锁存器输出编码器输出Q4 Q3 Q2 Q1 D C B A0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 1 0 0 0 0 1 11 0 0 0 0 1 0 0(2)脉冲产生电路:采用555组成的振荡器做触发器的时钟脉冲。

(3)音响电路:可以利用555组成的振荡器输出脉冲,接入蜂鸣器,当选手按下按键时鸣叫,知道主持人清零为止。

四人抢答器实验报告

四人抢答器实验报告

四人抢答器实验报告四人抢答器实验报告一、引言在现代教育中,互动式教学已经成为一种流行趋势。

为了激发学生的学习兴趣,提高课堂互动性,教育界不断探索新的教学工具和方法。

本实验旨在测试四人抢答器在课堂中的应用效果,以评估其对学生学习积极性和参与度的影响。

二、实验设计1. 实验对象:本实验选取了一所中学的两个高中班级作为实验对象,分别为实验组和对照组。

2. 实验组:实验组使用四人抢答器进行课堂互动。

抢答器由四个按钮和一个显示屏组成,学生可以通过按下按钮来回答问题。

3. 对照组:对照组采用传统的课堂互动方式,学生通过举手回答问题。

4. 实验流程:实验组和对照组的学生在进行相同的课程内容学习后,分别进行抢答器和举手回答的课堂互动。

三、实验结果1. 学生参与度:通过观察实验组和对照组学生的参与度,发现实验组学生更加积极主动地回答问题。

抢答器的使用使得学生们更加主动地参与到课堂互动中,不再依赖于教师提问。

2. 学习积极性:实验组学生在使用抢答器后表现出更高的学习积极性。

他们对于回答问题的渴望和竞争意识增强,积极主动地思考和学习。

3. 课堂氛围:实验组的课堂氛围更加活跃。

学生之间的互动更加频繁,他们在回答问题时互相竞争,激发了彼此的学习动力。

四、讨论与分析1. 抢答器的优势:抢答器的使用可以有效提高学生的参与度和学习积极性。

它创造了一种竞争的氛围,激发了学生的学习兴趣。

同时,抢答器还可以帮助教师了解学生的学习情况,及时调整教学策略。

2. 抢答器的限制:虽然抢答器在提高学生参与度方面有明显优势,但也存在一些限制。

首先,抢答器可能会导致部分学生焦虑和压力增加,影响其学习效果。

其次,抢答器只是一种课堂互动工具,不能完全替代教师的教学能力和方法。

3. 教师的角色转变:抢答器的应用使得教师的角色发生了一定的转变。

教师不再是简单地提问者,而是更多地扮演着引导者和指导者的角色。

教师需要根据学生的回答情况,及时给予反馈和指导,促进学生的全面发展。

四人抢答器课程设计报告_选定

四人抢答器课程设计报告_选定

本科课程设计专用封面设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见:评阅教师签名: 年 月 日____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________………………………………(装)………………………………(订)………………………………(线)………………………………四人智力竞赛抢答器一、设计题目四人智力竞赛抢答器二、设计任务与要求1)设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。

用数字显示抢答倒计时间,由“9”倒计到“0”。

选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。

2)设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。

参赛选手在设定时间(9秒)内抢答有效,抢答成功,定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)可用石英晶体振荡器或者555定时器产生频率为1H z 的脉冲信号,作为定时计数器的CP信号。

三、选用器材DZX 电子学综合实验台。

课程设计报告(四人智力抢答器)

课程设计报告(四人智力抢答器)

智力竞赛抢答计时器一、设计要求与任务1设计任务智力竞赛抢答器是一名裁判员,他的任务是从若干竞赛者中确定最先抢答者,并要求参赛者在规定的时间里回答完问题。

本设计要求设计一个四人参加的智力竞赛抢答器,每个参赛者控制一个按钮,用按动按钮发出抢答信号;竞赛主持人另有一个按钮,用于将电路复位,竞赛开始后,先按动按钮者将对应的一个发光二级管点亮,此后其他三人再按动按钮对电路不起作用,同时电路具有回答问题时间控制功能,要求回答时间小于60秒(显示0~59),时间显示选用倒计时方式,当达到规定时间时给出警告(警告灯闪烁)。

2设计要求1)4名选手编号分别为1,2,3,4;各有一个按钮,按钮的编号与选手编号灯对应,也分别为1,2,3,4;2)给主持人设置一个控制开关按钮,用来控制系统清零(抢答显示灯,数码管灭灯)和抢答的开始。

3)抢答器具有数据锁存和显示功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即补锁存,并输入编码电器,并在抢答显示器上显示时钟倒计时,封锁其他选手抢答。

直到抢答倒计时回到“0”后,回答时间到,由主持人将系统清零;4)抢答器具有定时(60秒)回答功能,当主持人按下开始按钮,并有选手第一时间抢答时,定时器开始计时,并在数码管上显示倒计时时间,倒计时结束时,回答时间到,蜂鸣器音响持续1秒,由主持人手动清零,进入下一道题的抢答环节;5)计时器采用频率为1HZ的脉冲信号作为定时计数器的CP信号,抢答电路中74LS161,CP则采用1KHZ,观察较为明显些。

二、硬件电路设计及描述由于设计任务是倒计时器,所以要用到减法器,又因为是十进制的所以我选择的主要芯片是74LS192两片,抢答功能,我利用了74LS161的预置功能,若有选手抢答时,74LS161不断反馈,不断循环预置功能,预置后,其他选手再按下抢答开关,也显示不出来,被封锁;接下来是实现显示的功能,我用的是74LS48芯片和共阴极七段显示器个两片,再根据需要我还用了74LS00(与非门)、74LS04(非门)。

四人竞赛抢答器实验报告

四人竞赛抢答器实验报告

数电课程设计实验报告设计课题:四人抢答器学院:信息工程学院专业: 通信工程班级:学号:姓名:指导老师:四人抢答器实验报告一. 实验目的1.学习并掌握抢答器的工作原理及其设计方法2.熟悉各个芯片的功能及其各个管脚的接法。

3.灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。

二. 设计任务与要求1.设计任务设计一台可供4名选手参加比赛的竞赛抢答器。

选手抢答时, 数码显示选手组号。

2.设计要求:抢答器的基本功能:1.设计一个智力抢答器, 可同时供四名选手或四个代表队参加比赛, 编号为一, 二, 三, 四, 各用一个抢答按钮, 分别用四个按钮S0——S3表示。

2.给节目主持人设置一个控制开关, 用来控制系统的清零(编号显示数码管清零)。

3.抢答器具有数据锁存和显示的功能, 抢答开始后, 若有选手按动抢答按钮, 编号立即锁存, 并分别让四盏LED亮来表示, 此外, 要封锁输入电路, 实现优先锁存, 禁止其他选手抢答, 优先抢答选手的编号一直保持到主持人将系统清零为止。

简言之, 有选手按下时, 显示不同选手的灯会亮。

同时, 其他人再按下时电路不做任何处理。

也就是说, 如果有选手按下以后, 别的选手再按的话电路不会显示所代表的的LED灯亮。

4.可用555定时器产生频率为1kHZ的脉冲信号, 作为触发器的CP信号。

三. 四人竞赛抢答器电路原理及设计系统原理框图:1.设计方案抢答器具有锁存、响铃、显示。

即当抢答开始后, 选手抢答按动按钮, 锁存器锁存相应的选手编码, 同时用代表不同选手的LED显示出来, 蜂鸣器响铃。

接通电源后, 主持人将开关拨到“清除”状态, , 编号显示器为0, 此时才可以再次抢答。

选手在抢答时, 抢答器完成:编号锁存、编号灯显示, 响铃。

2.单元电路设计及元器件选择(1)抢答电路电路如图2所示。

该电路完成两个功能: 一是分辨出选手按键的先后, 并锁定74LS175的功能真值表即优先抢答者的编号, 同时代表不同选手的LED灯电路亮, 蜂鸣器鸣叫;二是要使其他选手随后的按键操作无效。

4人抢答器课程设计报告

4人抢答器课程设计报告

课题二数字式抢答器一.数字式抢答器功能概述在举办各种智力竞赛活动中,常常需要确定谁是第一个抢答的人。

数字式抢答器利用电子器件可以准确的解决这一问题。

数字式抢答器允许抢答者在规定的时间范围内进行抢答,可以用数字显示抢先者的序号,并配有相应的灯光指示和声报警功能;对犯规抢答者(指在抢答开始命令下达前抢答者),除用声、光报警外,还应显示出犯规者的序号;若规定抢答时间已过,要告示任何输入的抢答信号均无效,除非重新下达抢答命令。

二.任务和要求设计一个数字式抢答器,具体要求如下:1.要求至少控制四人抢答,允许抢答时间为10秒,输入抢答信号是在“抢答开始”命令后的规定时间内,显示抢先抢答者的序号,绿灯亮。

2.在“抢答开始”命令前抢答者,显示违规抢答者的序号;红灯亮。

3.在“抢答开始”命令发出后,超过规定的时间无人抢答,显示无用字符(可自行确定)。

4.选做:不仅能显示抢答者的序号并且能显示抢答次序。

三.原理电路和程序设计1.总体设计电路如下图所示为总体方框图。

其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。

定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。

如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关2.单元电路设计(1)抢答器电路参考电路如下图所示。

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。

工作过程:开关S置于"清除"端时,当有选手将键按下时74L148的四个输出相与控制74L75的使能端,使其锁存并且让它和开关相与去控制红灯亮,保证报警电路通。

四人抢答器实验报告

四人抢答器实验报告

四人抢答器实验报告实验目的,通过自制四人抢答器,测试参与者在不同条件下的反应速度和准确性。

实验材料,Arduino开发板、按钮开关、LED灯、蜂鸣器、导线、面包板、电阻等。

实验步骤:1. 搭建四人抢答器的电路。

首先,将Arduino开发板连接到面包板上,然后连接四个按钮开关、LED灯和蜂鸣器,确保每个元件都能正常工作。

2. 编写程序。

利用Arduino开发板的编程软件,编写四人抢答器的程序,使其能够实现按下按钮后,LED灯亮起并发出声音。

3. 进行实验。

邀请四名参与者进行实验,他们坐在四个按钮开关旁,准备好后,进行实验。

实验分为两个阶段:a. 第一阶段,正常情况下的抢答。

主持人随机出题,参与者按下按钮进行抢答,抢答正确者LED灯亮起并发出声音,同时记录下抢答的时间。

b. 第二阶段,加入干扰因素。

在第二阶段,我们在参与者抢答时加入一些干扰因素,比如在LED灯亮起后再亮起其他LED灯或者发出噪音等,测试参与者在干扰情况下的反应能力。

实验结果分析:通过实验,我们得出了一些结论:1. 在正常情况下,参与者的反应速度和准确性较高,大部分人能够快速按下按钮进行抢答,并且抢答正确率较高。

2. 在加入干扰因素后,参与者的反应速度和准确性明显下降,部分人甚至出现了按错按钮的情况,说明干扰因素对参与者的抢答能力产生了一定的影响。

结论:通过本次实验,我们验证了四人抢答器在测试参与者反应能力方面的有效性。

参与者在正常情况下表现出较高的反应速度和准确性,但在加入干扰因素后,反应能力明显下降。

因此,在实际应用中,需要考虑到干扰因素对测试结果的影响,以保证测试结果的准确性。

总结:本次实验不仅验证了四人抢答器的可行性,也对参与者在不同条件下的反应能力进行了测试。

通过本次实验,我们对抢答器的制作和使用有了更深入的了解,也为进一步研究参与者的反应能力提供了有益的参考。

以上就是本次四人抢答器实验的报告内容,谢谢阅读。

四人抢答器报告

四人抢答器报告

四人抢答器报告一、背景在各类游戏节目以及竞赛中,抢答环节是一种常见而受欢迎的节目形式。

传统的抢答方式一般是参与者手持答题器,当主持人或者屏幕上显示答题题目后,参与者按下答题器上的按钮进行抢答,并通过闪灯、声音等方式来判断谁先按下按钮。

然而,这种传统的抢答方式存在着许多问题,比如不准确判断先后顺序、抢答设备复杂等。

为了解决这些问题,我们设计了一种新型的四人抢答器,来提供更准确和便捷的抢答体验。

本报告将详细介绍这个四人抢答器的设计原理、实现方式以及使用效果。

二、设计原理1. 硬件设计四人抢答器的硬件设计主要包括四个按钮和一个控制器。

每个按钮都连接到控制器上的一个独立的输入引脚,并且每个按钮都带有一个独立的LED指示灯。

在抢答过程中,当有参与者按下按钮时,相应的LED指示灯将点亮。

控制器还具备一个显示屏,用于显示抢答结果以及其他相关信息。

2. 软件设计四人抢答器的软件设计主要包括两个部分:控制器程序和参与者程序。

控制器程序负责接收按钮信号,判断先后顺序,并在显示屏上显示相应的抢答结果。

当控制器接收到某个按钮按下的信号后,它会根据先后顺序点亮相应的LED指示灯,并将抢答结果显示在显示屏上。

同时,控制器还可以记录每个参与者的得分,并在需要时进行清零。

参与者程序负责在按钮按下时发送信号给控制器。

每个参与者使用一个单独的设备运行参与者程序,通过按下按钮发送信号。

参与者程序会将按钮按下的信号发送给控制器,并根据抢答结果进行相应的反馈。

三、实现方式1. 硬件实现我们使用Arduino作为四人抢答器的控制器,并通过四个按钮和四个LED指示灯连接到Arduino的输入输出引脚上。

按钮和LED指示灯可以通过面包板连接到Arduino。

我们还将一个1602液晶显示屏连接到Arduino的I2C接口上,用于显示抢答结果和其他信息。

2. 软件实现在Arduino上,我们使用C++语言编写了控制器程序和参与者程序。

控制器程序使用Arduino的DigitalRead和DigitalWrite函数来读取按钮的状态和控制LED的亮灭。

四人抢答器设计报告

四人抢答器设计报告

抢答器实验设计报告姓名:班级:学号:一、设计目的1、学习数字电路中的优先编码器、锁存器、多谐振荡器、译码器、数据显示管的综合应用。

2、熟悉抢答器的工作原理3、了解数字系统设计,调试及故障排除方法。

二、设计要求(1)抢答器同时供4名选手比赛,分别用4个按钮S0 ~ S3表示。

(2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。

(3)抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。

选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

三、拓展要求(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。

当主持人启动"开始"键后,定时器进行减计时。

(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

四、电路的设计方案抢答器具有锁存、定时、显示和报警功能。

即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的计时。

而在选手按键抢答的时候都有报警以提醒主持人和选手。

抢答时间设定9秒。

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示0,开始计时,到9秒停止;主持人将开关置“开始”状态,宣布“开始”抢答器工作。

计时器计时,扬声器给出声响提示。

选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。

如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

计分操作是由选手自行连续按按键进行加分。

五、实验各个模块图1、按键除颤按键去抖动的关键在于提取稳定的低电平状态。

滤除前沿、后沿抖动毛刺。

抢答器课程设计报告

抢答器课程设计报告

抢答器课程设计报告•相关推荐抢答器课程设计报告在日常生活和工作中,报告对我们来说并不陌生,报告根据用途的不同也有着不同的类型。

相信许多人会觉得报告很难写吧,下面是小编收集整理的抢答器课程设计报告,仅供参考,希望能够帮助到大家。

题目:四人抢答器学年:三年学期:第一学期专业:电子仪器仪表与维修班级:Zxx2学号:91xx11姓名:xxx指导教师:xx时间:xx年12月28日——xx年01月04日一、设计任务与要求1、本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。

2、4名选手编号为1、2、3、4各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1、2、3、4。

3、设置一个系统清除和抢答控制开关S,该开关由主持人控制。

4、抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。

优先抢答选手的编号一直保持到主持人将系统清零为止。

二、电路原理分析与方案设计本设计主要由COMS系列数字集成电路CD4511、NE555等组成。

其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。

在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。

当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。

三、单元电路分析与设计1、开关编码电路电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。

电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。

当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。

2、显示电路这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编码)并驱动七段数码显示管显示出答题者的编号。

四路抢答器课程设计报告

四路抢答器课程设计报告

四路抢答器课程设计报告绪言为了加深对数字电子技术课程理论知识的理解,有效地提高动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。

现设计一个四人智能抢答器,通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

四人智力竞赛抢答器一、设计任务与要求1.设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。

由主持人控制,抢答前锁定抢答器,抢答时开启电路;用发光二极管LED显示哪个选手抢到。

2.设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮和发光二极管,按钮和发光二极管的编号都与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。

(3)抢答器具有数据锁存功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

、电路原理分析下图为供4人用的智力竞赛抢答装置电路,用以判断抢答优先权。

图中F1为4D触发器74LS175,它具有公共置0端和公共CP端;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的4分频电路。

F3,F4组成抢答电路中的CP时钟脉冲源。

抢答开始时,由主持人清除信号,按下复位开关S5,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭。

当主持人宣布“抢答开始”后,首先做出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出的信号锁住其余3个抢答者的电路,不再接受其他信号,直到主持人再次清除信号为止。

四人智力抢答器原理图三、电路设计与单元电路分析1.电路设计电路由选手开关电路、主持人开关电路、触发锁存电路、时间脉冲电路、抢答鉴别电路和显示电路组成。

四人智力抢答器课程设计报告

四人智力抢答器课程设计报告

数字电子技术课程设计报告设计课题: 四人智力竞赛抢答器学院:专业: 电子信息工程班级: 2010级电信(1)班姓名:学号:日期 2012年 12月9日——2012年12月23日指导教师:摘要在各种智力竞赛场合,抢答器是必不可少的最公正的用具。

通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。

电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。

当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。

扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。

经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。

关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器目录1 设计任务及要求 (1)2 比较和选定设计的系统方案、画出系统框图 (1)2.1 方案比较 (1)2.2 系统框图 (3)3单元电路设计、参数计算和器件选择 (3)3.1抢答电路设 (3)3.2 定时电路设计 (6)3.3报警电路设计 (9)4完整的电路图及电路的工作原理 (10)4.1完整电路图 (10)4.2 工作原理 (11)5经验体会 (12)参考文献 (12)附录A:系统电路原理图 (13)附录B:元器件清单 (14)四人智力竞赛抢答器1 设计任务及要求(1)设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,稍后的其他人按下开关则无效。

抢答器具有显示功能,即选手按动按钮,相应的LED发光二极管发光,同时扬声器发出声音。

四人抢答器课程设计报告

四人抢答器课程设计报告

四人智力竞赛抢答器一、设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计任务与要求1、设计任务1)设计一个4路(1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。

(2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。

2、发挥部分(1)扩展为10路(1~10)智力竞赛抢答器。

(2)设计抢答最长时间(30秒)限制和倒计时显示。

4、设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时蜂鸣器发出响声提示,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

三、设计方案选取与论证图1系统框图1、系统框图当主持人宣布开始,数码管给出提示,当某选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。

然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。

最后在显示电路中显示出所按键选手的号码。

若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。

如图1.2、设计方案 抢答器的总体框图如上图所示,它由主体电路和扩展电路两部分组成。

主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

扩展电路完成定时抢答的功能。

四路抢答器报告(最终版)

四路抢答器报告(最终版)

设计报告课程名称EDA技术实用教程任课教师黄慧设计题目数字式竞赛抢答器班级12自动化卓越班姓名学号李思聪1205032033郭一鸣1205031020孔德利1205031026 日期2014年11月11日一、设计目的1、学习使用实验箱中数码管显示、按键控制。

2、熟悉抢答器的工作原理。

3、了解数字系统设计,调试及故障排除方法。

二、设计要求1、四组参赛者进行抢答,当抢答组按下抢答按钮时,抢答器能准确的判断出抢答者。

2、抢答器可以容纳4组参赛者同时抢答,每组设置1个按钮供抢答者使用。

设置抢答使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他组参赛者的抢答开关不起作用,若提前抢答则对相应的参赛者发出警报。

3、系统具有清零功能。

当清零信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。

4、数字式竞赛抢答器电路还具有计分功能。

如果抢答成功的参赛者满足得分条件则增加相应的分数,答错不扣分。

三、电路的总体方案及工作原理1、总体方案(1)方案一系统由三个主要模块组成,分别为第一判别电路、计分电路、显示电路。

其中第一判断电路主要完成最快抢答者的判断功能;计分电路则存储每组的分数,显示电路则显示抢答者的状态和各组的分数。

因此数字竞赛抢答器的输入信号包括复位信号CLR、抢答器使能信号EN、4级参赛者抢答按钮A\B\C\D及加分信号ADD,输出信号包括4组参赛者的状态显示LEDx(x表示参赛者编号)及其对应的得分SCOREx、抢答器抢答成功的组别显示等。

(2)方案二抢答电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。

该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。

定时电路原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和1个7段数码管即相关电路组成。

四人智力竞赛抢答器课程设计报告(最终5篇)

四人智力竞赛抢答器课程设计报告(最终5篇)

四人智力竞赛抢答器课程设计报告(最终5篇)第一篇:四人智力竞赛抢答器课程设计报告一、设计题目四人电子抢答器二、设计功能1.基本功能(1)抢答器同时供4名选手比赛,分别用4个按钮key5 ~ key8表示。

(2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。

(3)抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。

选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

2.扩展功能(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。

当主持人启动“开始”键后,定时器进行减计时。

(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,3.自主功能(1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。

(2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。

(3)每个选手有一个记分板,答对题目可以由主持人控制start 键给予加分。

每加一分响一次。

(4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。

三、原理电路设计:1、方案抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。

输出在共阳数码管显示主持人电路:(1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。

假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。

(2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。

四人智力抢答器课程设计报告

四人智力抢答器课程设计报告

四人智力抢答器课程设计报告一、课程概述本课程以四人智力抢答器为主题,旨在培养学生的团队合作精神、思维反应速度和知识储备能力。

通过本课程的学习,学生将掌握制作智力抢答器的基本原理和方法,增强对电子电路及程序设计的基础理解,提高操作技能和创新能力。

二、课程目标1.了解智力抢答器的概念、构成和原理,能够制作出基于Arduino板的简单抢答器。

2.掌握Arduino编程语言,能够编写程序实现四人智力抢答器的功能。

3.培养学生的团队合作精神,提高思维反应速度和知识储备能力。

4.提高学生对电子电路及程序设计的基础理解,增强操作技能和创新能力。

三、教学内容1.智力抢答器的概念、构成和原理。

2.Arduino编程语言基础知识,包括数据类型、变量、常量、控制结构等。

3.编写四人智力抢答器的程序,实现抢答、计时、显示等基本功能。

4.制作智力抢答器电路板,包括硬件设计和布线。

5.完成抢答器的组装、安装和测试。

四、教学方法本课程采用多元化教学方法,包括讲授、实验、实践和互动等。

通过讲授,学生将了解智力抢答器的构成、原理和操作关键点;通过实验,学生将深入掌握Arduino编程语言和电路设计的实际应用;通过实践,学生将完成智力抢答器的搭建和测试;通过互动,学生将加强思维创新和团队合作能力的培养。

五、评价方法本课程采用多种评价方法,包括考试、作业、报告、演示等。

通过考试,检验学生掌握的理论知识;通过作业,检验学生的编程能力和电路设计能力;通过报告,检验学生对智力抢答器理解和应用的深入程度;通过演示,检验学生对智力抢答器的实际操控能力。

六、教学安排课程时长:16学时授课内容:第一学时:智力抢答器的概念、构成和原理。

第二学时:Arduino编程语言基础知识。

第三学时:编写四人智力抢答器的程序(一):抢答。

第四学时:编写四人智力抢答器的程序(二):计时。

第五学时:编写四人智力抢答器的程序(三):显示。

第六学时:制作智力抢答器电路板(一):硬件设计。

数字逻辑电路课程设计报告_4路抢答器

数字逻辑电路课程设计报告_4路抢答器

数字逻辑电路课程设计报告题目名称: 4路抢答器系院:专业班级:学生姓名:完成日期:摘要数字抢答器由主体电路与扩展电路组成。

优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路, 以上两部分组成主体电路。

通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能, 构成扩展电路。

经过布线、焊接、调试等工作后数字抢答器成形。

关键字: 开关阵列电路;触发锁存电路;解锁电路;编码电路;显示电路(1)一, 设计目的(2)本设计是利用已学过的数电知识, 设计的4人抢答器。

(3)重温自己已学过的数电知识;(2)掌握数字集成电路的设计方法和原理;(3)通过完成该设计任务掌握实际问题的逻辑分析, 学会对实际问题进行逻辑状态分配、化简;(4)掌握数字电路各部分电路与总体电路的设计、调试、模拟仿真方法。

(一)二, 整体设计(二)设计任务与要求:1.抢答器同时供4名选手或4个代表队比赛, 分别用4个按钮S0~ S3表示。

2.设置一个系统清除和抢答控制开关S, 该开关由主持人控制。

3.抢答器具有锁存与显示功能。

即选手按动按钮, 锁存相应的编号, 并在LED数码管上显示, 同时扬声器发出报警声响提示。

选手抢答实行优先锁存, 优先抢答选手的编号一直保持到主持人将系统清除为止。

4.参赛选手在设定的时间内进行抢答, 抢答有效, 定时器停止工作, 显示器上显示选手的编号和抢答的时间, 并保持到主持人将系统清除为止。

5.如果定时时间已到, 无人抢答, 本次抢答无效。

(三)设计原理与参考电路抢答器的组成框图抢答器的一般组成框图如下图所示。

它主要由开关阵列电路、触发锁存电路、解锁电路、编码电路和显示电路等几部分组成。

1.开关阵列电路: 该电路由多路开关所组成, 每一名竞赛者与一组开关相对应。

开关应为常开型, 当按下开关时, 开关闭合;当松开开关时, 开关自动弹出断开。

2.触发锁存电路: 当某一组开关首先被按下时, 触发锁存电路被触发, 在对应的输出端上产生开关电平信息同时为防止其他开关随后触发而造成输出紊乱, 最先产生的输出电平反馈到使能端上, 将触发电路封锁。

四路抢答器课程设计报告

四路抢答器课程设计报告

四路抢答器设计实验报告信息科学技术学院自动化*班****四路抢答器设计实验报告一、设计任务:1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。

2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。

3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。

4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电子电路实验的基本技能。

二、技术指标抢答器是一种具有优先输出的电子电路。

它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。

在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。

1、设计一个可供4人进行的抢答器。

2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

抢答后显示优先抢答者序号,同时发出音响。

并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。

4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。

5、设定的抢答时间内,选手可以抢答,这时定时器开始工作,显示器上显示选手的号码和抢答时间。

并保持到主持人按复位键。

6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬声器报警发出声音,定时器上显示0。

三、元件清单:四、电路框图如下:1)智能抢答器 总体方框图如上图所示。

其工作原理为:接通电源后,主持人将K 0拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器不显示;主持人用开关K 0清零,宣布"开始"并按下K 0状态开关抢答器工作。

定时器计时。

选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。

四人智力抢答器课程设计

四人智力抢答器课程设计

四人智力抢答器课程设计一、课程目标知识目标:1. 让学生掌握四人智力抢答器的基本原理和电路组成;2. 使学生了解抢答器在竞赛中的应用,掌握相关电路图的识别和绘制;3. 帮助学生理解数字电路和逻辑门的基本概念及其在抢答器中的应用。

技能目标:1. 培养学生动手操作能力,能独立完成四人智力抢答器的组装和调试;2. 培养学生运用所学知识解决实际问题的能力,具备简单的故障排查和维修技能;3. 提高学生的团队协作能力和沟通能力,能在小组合作中发挥各自优势,共同完成任务。

情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养其探索精神和创新意识;2. 培养学生积极参与竞赛,树立竞争意识,学会尊重对手,诚实守信;3. 引导学生认识到科技对社会发展的作用,增强其社会责任感和使命感。

课程性质:本课程为电子技术实践课程,注重理论知识与实际操作的相结合。

学生特点:四年级学生具备一定的电子技术基础,好奇心强,喜欢动手操作。

教学要求:教师需引导学生将理论知识应用于实践,注重培养学生的动手能力和团队协作精神,提高其解决实际问题的能力。

教学过程中,关注学生的个体差异,给予个性化指导,确保每个学生都能达到课程目标。

通过课程学习,使学生具备抢答器的组装、调试和维修技能,为后续电子技术学习打下坚实基础。

二、教学内容1. 教学大纲:a. 抢答器基本原理及电路组成b. 数字电路与逻辑门基础c. 抢答器电路图的识别与绘制d. 抢答器的组装与调试e. 故障排查与维修2. 教学内容安排与进度:a. 抢答器基本原理及电路组成(1课时)- 介绍抢答器的工作原理- 讲解电路组成,包括按键、编码器、译码器、指示灯等b. 数字电路与逻辑门基础(2课时)- 介绍数字电路基本概念- 讲解逻辑门的功能和应用c. 抢答器电路图的识别与绘制(2课时)- 讲解电路图的识别方法- 指导学生绘制简单的抢答器电路图d. 抢答器的组装与调试(3课时)- 指导学生进行抢答器组装- 教授调试方法,确保抢答器正常工作e. 故障排查与维修(2课时)- 分析常见的故障现象- 教授故障排查和维修方法3. 教材章节及内容:a. 第四章:数字电路基础b. 第五章:逻辑门电路c. 第六章:简易抢答器的设计与制作三、教学方法为了提高教学效果,充分调动学生的学习积极性,本课程将采用以下多样化的教学方法:1. 讲授法:教师以简洁明了的语言,系统地讲解抢答器的基本原理、电路组成和数字电路基础知识。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字电子技术基础》课程设计任务书专业:电气工程及其自动化班级:13电气专升本学号:130732002姓名:陈云飞指导教师:耿素军二零一三年十一月二十六日四路数电抢答器一、课程设计(论文)要求及原始数据(资料):1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。

2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。

3)设计定时电路,声、光报警或音乐片驱动电路。

4)设计控制逻辑电路,启动、复位电路。

5)设计计分电路,犯规电路。

6)安装自己设计的电路和仿真。

7)写出设计报告。

二、课程设计进度:二、主要参考文献【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001【5】吕思忠《数字电路实验与课程设计》,2001专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强课程设计(论文) 工作截至日期 2013-12-10 课程设计评语课程设计成绩;目录1课程设计目的 (1)2设计指标 (1)3 总体框题设计 (1)4方案设计 (2)5总电路图设计 (8)6使用元件 (9)7总结 (10)8 参考文献 (11)一、课程设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计指标(1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。

(2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。

(3)设计定时电路,声、光报警或音乐片驱动电路。

(4)设计控制逻辑电路,启动、复位电路。

(5)设计计分电路,犯规电路。

(6)安装自己设计的电路和仿真。

(7)写出设计报告。

三、总体框图设计当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。

报警电路给出声音提示。

当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。

然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。

最后在显示电路中显示出所按键选手的号码。

若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。

如图1.四、设计方案1、抢答器具有锁存、定时、显示和报警功能。

即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED 数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED 数码管把选手的所剩抢答时间显示出来。

而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。

抢答时间设定9秒,报警响声持续1秒。

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。

定时器倒计时,扬声器给出声响提示。

选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。

当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。

如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

2、方案比较方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。

这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。

方案2:采用D 触发器和译码器来完成抢答部分。

虽然元件较多,但在实现锁存功能时可以简单的实现。

经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。

然后利用软件Multisim 来进行仿真调试,再进行逐步改进。

3、单元电路设计及元器件选择(1)抢答电路电路如图2所示。

该电路完成两个功能:一是分辨出选手按键的先后,并锁定74LS175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。

其工作原理为:当主持人控制开关处于“清除”时,D触发器的清零端为低电平,使D触发器被强制清零,输入的抢答信号无效。

当主持人将开关拨到“开始”时,D触发器Q非端前一状态为高电平,四个Q非端与在一起为高电平,再和抢答按键信号和借位信号与在一起给D触发器的脉冲端,当没人抢答时,抢答信号为低电平,与门U11输出端为低电平给D触发器脉冲端,当一有人抢答时,抢答信号为高电平,并和U2的输出信号和借位信号与在一起,使得U11输出端为高电平给D触发器,于是D触发器就有一个上升沿,使得抢答信号经D触发器触发锁存再经过译码器74ls48译码,把相应的信号显示在数码管上。

另外,当选手松开按键后,D触发器的Q非前一状态为低电平,与在一起后给与门U11,使得U11的输出端为低电平给D触发器,则D触发器的脉冲输入端恢复原来状态,从而使得其他选手按键的输入信号不会被接收。

这就保证了抢答者的优先性及抢答电路的准确性。

当选手回答完毕,主持人控制开关S是抢答电路复位,以便进行下一轮抢答。

(2)定时电路节目主持人通过按复位键来进行抢答倒计时。

如图3。

定时9秒,把74LS192 对应的9,10,1,15四个端子预置为“1001”。

计数器的时钟脉冲由秒脉冲电路555提供。

当复位开关按下时,给74ls192一个低电平,从而开始倒计时,每来一个脉冲信号进行减计数一次。

当有选手抢答或借位信号时,就使得74ls192的输入脉冲变成低电平,从而实现倒计时的停止。

再按复位键时,再一次倒计时。

(3)报警电路由74ls121和蜂鸣器构成的报警电路,但仿真中没有74ls121,因此用功能相同的MONOSTABLE VIRTUAL(后文简称A1)来代替仿真,如图4所示。

其中A1的脉冲输入端是由复位信号和Q非的与信号和借位信号与在一起来提供的。

当其中一个信号为低电平时,使得A1得到一个下降沿脉冲,从而使A1的Q端输出一个宽度为一秒的高电平,那么蜂鸣器就会响一秒。

反之,电路停振,蜂鸣器不响。

(4)时序控制电路时序控制电路是抢答器设计的关键,它要完成以下三项功能:1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

3)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

根据上面的功能要求,设计的时序控制电路如图5所示。

图5中,U2与门74ls21作为抢答的控制信号;U12与门74ls11和U13与门74ls21的作用是控制时钟信号CP的放行与禁止;U11与门74ls11的作用是控制74LS175的输人脉端,U10的作用是控制74ls121的输入脉冲端。

工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,74LS175的输出 Q!=1,U2输出为1,借位信号为1,则U12输出为1,使U13输出为1,则时钟信号CP能够加到74LS192的时钟输入端,定时电路进行递减计时。

同时,在定时时间未到时,则“定时到信号”为1,U11和U13的输出为1,使 74LS175和74ls192处于正常工作状态,从而实现功能(1)的要求。

当选手在定时时间内按动抢答键时,Q!=0,U2输出为0,封锁 CP信号,则U12输出为0,使U13输出为0,定时器停止倒计时处于保持工作状态;同时,U11的输出为1使D触发器触发而输出信号,也使U10输出为0,给了74ls121一个上升沿,使74LS121处于工作状态给蜂鸣器一个一秒的高电平,从而实现功能(2)的要求。

当定时时间到时,则“定时到信号”为0,U10输出为0,有给了74ls121一个下降沿,使 74LS121处于工作状态给蜂鸣器一个一秒的高电平,禁止选手进行抢答。

同时,U13输出为0,封锁 CP信号,使定时电路保持0状态不变,从而实现功能(3)的要求。

集成单稳触发器74LS121用于控制报警电路及发声的时间。

五四路抢答器总电路图本电路由抢答电路、定时电路、报警电路、秒脉冲产生电路等几部分组成。

抢答电路完成基本的抢答功能,即抢答开始后,当选手按动抢答键时能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答;定时电路的主要功能是完成定时抢答;报警电路的主要功能是完成对非法抢答的报警、选手抢答后的提示以及倒计时结束的提示六、元器件列表表1七、设计过程中的问题和解决办法(1)在设计方案时,遇到了信号不能锁存的问题。

经过查找资料,了解如何通过D触发器的输出信号来反馈回D触发器的脉冲端来进行锁存。

(2)在仿真时遇到了555不能仿真和没仿真元件74ls121的问题。

通过翻阅书本了解555如何准确使用和上网搜索74ls121的代替元件来解决。

(3)当焊完电路调试时,数码管没显示。

于是对照电路图来逐一检查,发现有些管脚没接上。

例如数码管的接地端没接地、74ls192的CLR脚没接地等等。

设计成品的优点与不足优点:元器件较少,功能满足要求不足:(1)在设计时,用了D触发器来进行抢答信号的筛选和锁存,在同时按下S0和S1时(其同时按下的几率较小,其可精确到微秒甚至纳秒级,但情况还是会存在的)会显示“3”,而按S2时也会显示“3”,所以显示“3”的几率大一点,但几率很小。

改良:可改用编码器和锁存器来代替D触发器。

(2)电路跳线较多,使得电路板外观不好。

改良:焊线路前应细心考虑元件的排布和线路的连接。

心得体会这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。

了解了更多电子元件的工作原理,如:74LS121、74LS48、74ls192等。

但同时也暴露出我在知识上掌握不足等缺点。

其次在此次设计过程中由于我们频繁的使用一电子设计软件如:Multisim等,因此使我熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。

加上在设计过程中遇到了一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能耐。

这一课程设计,使我向更高的精神和知识层次迈向一大步。

所以在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。

参考文献[1] 王武江,陈树凯编.常用集成电路速查手册[M].北京:冶金工业出版社,2004年[2] 康华光,邹寿彬编.电子技术基础(第五版)[M]. 北京:高等教育出版,2006年[3] 邹其洪,黄智伟,高嵩等.电工电子实验与计算机仿真[M].北京电子工业出版, 2003年。

相关文档
最新文档