哈尔滨工业大学模电大作业
哈工大2012数字电路大作业题目
数字电路大作业题目说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计[设计要求](1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)题目2:乒乓球比赛模拟机的设计乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求](1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)题目3:液体点滴速度监控装置的设计设计医用点滴速度自动控制装置。
假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。
[设计要求](1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。
哈工大数电大作业——学号后三位为模的计数器
数字电子技术应用Verilog HDL设计计数器学院:航天学院班级:学号:姓名:教师:设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。
设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。
然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。
程序代码:modulejishuqi(out,reset,clk);output [7:0] out;inputreset,clk;reg [7:0] out;always @(posedgeclk)beginif(!reset)out<=8'h00;else if(out>=113)out=8'h00;else out<=out+1;endendmodule激励源设置程序:`timescale 1 ns/ 1 psmodulejishuqi_test();regclk;reg reset;wire [7:0] out;jishuqi i1 (.clk(clk),.out(out),.reset(reset));initialbegin#1 clk=0;#10 reset=0;#40 reset=1;endalways #20 clk=~clk ;endmoduleModelsim仿真波形图:注二进制数01110001化成十进制数为113,因此得到了正确的波形图。
RTL ViewerTechnology Map Viewer。
哈工大模电期末考试题及答案
哈工大 2008 年 秋 季学期模拟电子技术 试 题一、 填空(16分)1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。
2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。
3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。
4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。
RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。
5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。
6、下列说法正确的画√,错误的画×(1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。
( × )(2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。
( ×)(3)图1所示的文氏桥振荡电路中,对于频率为012f RCπ=的信号,反馈信号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。
( × )第 1 页 (共 8 页)图1二、(18分)基本放大电路及参数如图2所示,U BE =0.7V ,R bb ’=300Ω。
回答下列各问: (1) 请问这是何种组态的基本放大电路?(共射、共集、共基) (2) 计算放大电路的静态工作点。
(3) 画出微变等效电路。
(4) 计算该放大电路的动态参数:uA ,R i 和R o (5) 若观察到输出信号出现了底部失真,请问应如何调整R b 才能消除失真。
图2答:(1)是共射组态基本放大电路(1分)(2)静态工作点Q :Vcc=I BQ *R b +U BEQ +(1+β) I BQ *R e ,即15= I BQ *200k Ω+0.7V+51* I BQ *8k Ω, ∴I BQ =0.0235mA (2分) ∴I CQ =βI BQ =1.175mA , (2分) ∴U CEQ =V cc-I CQ *R C -I EQ *R E ≈V cc-I CQ *(R C +R E )=15-1.175*10=3.25V (2分) (3)微变等效电路o(4分)(4)r be =r bb ’+(1+β)U T /I EQ =0.2+51*26/1.175=1.33K Ω A u =-β(R c //R L )/r be =-50*1.32/1.33=-49.6(2分) Ri=R b //r be ≈1.33K Ω; (2分) Ro ≈Rc=2K Ω(2分) (5)是饱和失真,应增大R b(1分)第 2 页 (共 8 页)三、(24分)回答下列各问。
哈工大电大数字电子技术基础大作业
哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
哈工大模电大作业
解决方法:检查计算过程,确保每个步骤都正确无误,可以使用计 算器辅助计算
解决方法:按照实验指导书要求进行操作,注意安全,遵循实验步 骤
解决方法:参考教师提供的模版,按照要求撰写报告,注意排版和 格式
提高效率和准确性的技巧
制定详细计划,合理分配时间 熟练掌握基础知识,避免因基础知识不扎实导致错误 仔细审题,明确题目要求和注意事项 多做练习,提高解题能力和技巧
完成作业是学生对自己学习成果 的检验和提高,也是对教师教学 质量的反馈。
作业要求和时间安排
作业要求:根据教学大纲,完成模电大作业,包括理论分析和实验操作两部分
时间安排:作业布置时间为课程结业前一周,完成时间为结业前三天
作业内容
02
作业题目和任务
题目:哈工大模电大作业
任务:完成模电实验报告, 包括实验原理、实验步骤、 数据记录与分析等内容
作业成果评价
04
评价标准和方式
评价标准:作业 完成度、技术难 度、创新性、实 用性
评价方式:教师 评分、同学互评、 自我评价
评价过程:制定 评价标准、作业 提交、评价结果 反馈
评价结果应用: 作为课程成绩的 依据,促进教学 改进
作业成果展示和交流
学生在课堂上进行作业成果 的讲解和交流,提高表达能 力
对未来学习和发展的建议和展望
深入学习模电知识,掌握更多实际应用技能 拓展学习领域,了解相关学科的前沿动态 加强实践操作能力,提高实验技能水平 积极参与学术交流和项目合作,拓宽视野和思路
YOUR LOGO
THANK YOU
汇报人:XX
汇报时间:20XX/01/01
YOUR LOGO
哈工大电工学大作业 RC积分电路的讨论
大作业一积分电路的讨论班级:学号:姓名:2013年11月10日关于RC 积分电路的深入讨论:在输入为周期性单向方波脉冲信号的激励下。
讨论积分电路的特性。
1) 理论推导稳态时输出电压平均值与输入电压平均值的关系;2) 设2ms T =,11ms t =,m 10V U =,讨论电路从零初始值过渡到稳态所需时间与RC 参数之间的关系。
绘制出变化曲线。
可选择做计算机仿真分析。
3) 讨论在时间常数τ相同,不同的R 、C 取值(比如10k Ω/1F μ的组合,与10Ω/1000F μ的组合)之间有何分别?答案:1)电路处于稳态时,输出端电压变化为下图粗线,输入电压的变化为下图细线。
图1 输出端电压和输入电压的变化图像在电路处于稳态时选择一个起始时间零点,不妨设t 1=T/2。
假设在时间零点,输出电压为u 0。
则在0~t 1时间内,输出端电压u 2对时间t 的函数为τtm m eU u U u -⨯-+=)(02在0~t 1时间内对u 2积分得)1()(2])([20201-⨯-⨯-⨯=⨯-+=--⎰τττTm m T tm m e U u U Tdt e U u U I 。
t 1时刻输出端电压为τ20)(Tm m eU u U -⨯-+。
t 1~T 时刻输出端电压u 2对时间t 的函数为ττ2202])([T t T m m ee U u U u ---⨯⨯-+=。
在t 1~T 时间内对u 2积分得)1(])([])([22022202-⨯⨯-+⨯-=⨯⨯-+=-----⎰τττττT T m m TT T t T m m eeU u U dt eeU u U I 。
T 时刻输出电压为ττ2201])([T T m m eeU u U u --⨯⨯-+=。
由电路达到稳态可得10u u =,即ττ2200])([T T m m e e U u U u --⨯⨯-+=,解得τττTTm T m eeU eU u ----⨯-⨯=120。
哈工大模电大作业2
一、实验内容F μ的电容器,阻值尽量接近实际计算值。
电路设计完后,画出频率响应曲线,并采用Multisim 进展仿真分析。
二、原理分析给定电容值0.01uF ,计算得:43R R = = 10ΩK ,选取1R = 2R = 39ΩK 按照滤波器的工作频带,滤波器可分为低通滤波器〔LPF 〕、高通滤波器〔HPF 〕、带通滤波器〔BPF 〕、带阻滤波器〔BEF 〕几种。
按滤波器传递函数的极点数又分为一阶滤波器、二阶滤波器等。
如果滤波器仅由无源元件〔电阻、电容和电感〕组成,那么称之为无源滤波器;假设滤波器含有有源元件〔晶体管、集成运放等〕,那么称之为有源滤波器。
由阻容元件和运算放大器组成的滤波电路称为RC 有源滤波器。
由于集成运放有带宽的限制,目前RC 有源滤波器的工作频率比拟低,一般不超过1MHz 。
1、 有源低通滤波器〔LPF 〕低通滤波器允许输入信号中低于截止频率的低频或直流分量通过,抑制高频分量。
有源低通滤波器是以RC 无源低通滤波器为根底,与集成运放连接而成。
2、 二阶压控型低通滤波器二阶压控型有源低通滤波器如下列图所示。
图 1. 二阶压控型低通滤波器原理图因为电容器C1的接地端改为接运放输出端,引入了正反应,由于在通带内电容器视为“开路〞,因此C1的改接不影响滤波器的通带电压放大倍数,即11up RfA R =+。
为简化计算,令23,12R R R C C C ====,根据“虚短〞和“虚断〞特征及叠加定理可解得传递函数:2()()1(3)(sCR)up o us I up A u s A u s A sCR ==+-+ 令s j ω=,得滤波器的频率响应表达式:21()(3)upu up o oA A f f j A f f =-+-式中12o f RCπ=,令21()(3)H H up o o f f j A f f -+-=解得该滤波器的上限截止频率为 1.272H o o f f f =≈ 定义有源低通滤波器的品质因数Q 为o f f =时电压放大倍数的模与通带电压放大倍数之比,即13upQ A =- 实际应用,Q 的调节范围0100Q ≤≤,一般选取1Q =附近的值。
哈工大模电大作业集成运放
H a r b i n I n s t i t u t e o f T e c h n o l o g y模电课程大作业一设计题目:集成运算放大器设计院系:航天学院班级:XXX设计者:XXX学号:XXXX设计时间:2014.05.26哈尔滨工业大学2014年题目:用集成运算放大器实现下列运算关系要求所用的运放不多于三个,元件要取标称值,取值范围为 画出电路图,并用Multisim 进行仿真验证。
分析:由 知,1223i i u u +可以通过反相求和运算电路实现得到输出电压 ,而35i u dt -⎰可以通过积分电路实现,最后用减法运算电路将两者求差得到。
设计方案:1.反相求和运算电路 因为令 为了保证运放两端的对称,则4123////5R R R R k ==Ω但电阻的标称值没有5k 所以4R 取标称值5.1k 。
所以设计的反相求和运算电路如下图。
123235o i i i u u u u dt=+-⎰11,0.110k R M F C F μμΩ≤≤Ω≤≤123235o i i i u u u u dt =+-⎰12(23)i i u u -+123235o i i i u u u u dt =+-⎰3112u R A R ==3223u R A R ==31230,15k ,10R k R R k =Ω=Ω=Ω则对于12,i i u u ,则用波形发生器输入方波。
设置1i u 的幅值为1V ,频率为1kHz ,占空比为50%的方波,2i u 的幅值为2V ,频率为1kHz ,占空比为50%的方波。
对两波形发生器的设置如下图。
用示波器同时显示两个输入端和输出端的波形。
得到仿真结果如下图。
Channel_A 和Channel_B 分别为两个输入端,Channel_C 为输出端。
根据计算输出端电压112(23)7o i i u u u V =-+=与仿真结果一致。
2.积分运算电路。
根据题意235o i u u dt =-⎰,故时间常数60.2R C τ==。
哈工大cmos模拟集成电路大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y模拟C M O S集成电路大作业设计题目:二级运放设计院系:班级:设计者:学号:设计时间:2011.6.20哈尔滨工业大学2012年设计题:假定μn C ox=110 μA/V2,μp C ox=50 μA/V2,λn=0.04V-1,λp=0.04V-1(有效沟道长度为1μm时),λn=0.02V-1,λp=0.02V-1(有效沟道长度为2μm时),λn=0.01V-1,λp=0.01V-1(有效沟道长度为4μm时),γ=0.2,V THN=| V THP | =0.7V。
设计如下图的放大器,满足如下要求,其中负载电容C L= 10pF。
Av > 4000V/V,VDD = 5V,GB = 5MHz ,SR > 10V/µs ,60°相位裕度,Vout 摆幅=0.5~4.5V, ICMR 1.5~4.5V,Pdiss≤ 2mW1.请说明详细的设计过程,包括公式表达式(假定C ox = 0.35fF/µm2,栅源电容按计算);2.给出进行交流仿真和瞬态仿真的spice仿真的网表,并给出仿真波形和结果。
3.如果要求Av至少提高为原来的2倍,其它要求不变,如何修改电路(注意讨论对其它性能参数的影响)?注意事项:1.计算得到的极点频率为角频率。
2.尺寸最后应选取整数,工艺精度的限制。
3.尾电流增加,Av增加还是减小?1.根据相位裕度PM=60deg的要求,求C c(假定ωz>10GB);考虑零点的影响,CC的选取:PM=60°时,GB处︒-︒=⎪⎪⎭⎫ ⎝⎛+⎪⎪⎭⎫ ⎝⎛+⎪⎪⎭⎫ ⎝⎛60180c c c 21z p p GB tg ar GB tg ar GB tg ar ωωω令ωz =10GB 时()︒-︒=+⎪⎪⎭⎫⎝⎛+︒601801.0c c 902tg ar GB tg ar p ω若PM>60 °, ωp2>2.2GB ,并由ωz =10GB2.210mII mII L C g g C C >⨯由此可得:LC C C 22.0>负载电容C L =10pF,所以C c >2.2pF,取C c =3pF2.由已知的Cc 并根据转换速率的要求(或功耗要求)选择ISS (I5)的范围;A I A I s V S C I S r Cr μμμ40,30,/10555=>>=取可得,由3.由计算得到的电流偏置值(I5 /2),设计W3/L3( W4/L4 )满足上ICMR (或输出摆幅)要求,即饱和区条件;极限情况下,即ICMR 达最大4.5V 时,M3,M4管的过驱动电压为:3,4OD DD THn THpV V ICMR V V +=-+-由此可得,M3,M4管的漏电流:2253(4)3,411/2()2022p ox OD p ox DD THn THp W WI I C V C V ICMR V V A L L μμμ+===-+-=代入μp C ox =50 μA/V 2,VDD = 5V ,ICMR +=4.5V ,I 5=40μA ,V THN =| V THP | =0.7V 可得:3,43,4() 3.2()=4W WL L =,此时取4. 验证M3处镜像极点是否大于10GBGBC gC C g gs m gs gs m 10233433>=+验证F101.5008100.354440.6767.0-14-15333⨯=⨯⨯⨯⨯⨯==ox gs C L W CV A I L WC g oxp m /1044.891020410502266633---⨯=⨯⨯⨯⨯⨯=⋅=μ代入验证成立5. 设计W1/L1( W2/L2 )满足GB 的要求1/m cGB g C =6121110521032⨯⨯⨯⨯=⋅=⋅=-πμGB C I L WC g c oxn m由此解得:1,21,2()=2.01()=3W WL L ,此时取6. 设计W5/L5满足下ICMR (或输出摆幅)要求; 当ICMR 取最小值1.5V 时,M5管的过驱动电压为:-6151-61222010=1.5-0.7=0.45V (/)110103OD GS TH n ox I V ICMR V ICMR V C W L μ--⎛⎫⎛⎫⨯⨯=-=-++ ⎪ ⎪ ⎪ ⎪⨯⨯⎝⎭⎝⎭255)(21OD ox n V L W C I μ=4)(3.59)(55==L WL W ,取由此可得, 7. 根据ωp2>2.2GB 计算得到gm6;并且根据偏置条件VSG4=VSG6计算得到M6的尺寸6222GB=; 2.2C m m p p L Cg gGB C ωω=>由,且得: 62622.2/,=2.2/m m L c m m L cg g C C g g C C >令2266 2.2()()()()n ox GS THN L p ox GS THP cWC V V C WL C V V LC μμ--=所以2224421412;()()2422p ox GS THP n ox GS THN W W I I C V V C V V L L μμ=-=-根据电路结构得:即 64642(2/2),()(4/4)n GS GS GS THP GS THP GS THN p W L V V V V V V V V W L μμ=-=-=-又因为所以6666(W2/L2)(W4/L4)2.2 2.21011034:==37.68=38350n LC p W WC L C L μμ⨯⨯⨯=联立可得,取8. 根据尺寸和g m6计算I 6,并验证V out,max 是否满足要求666444/3820190/4W L I I AW L μ==⨯=66666221901060.45(/)501038OD p ox I M V V C W L μ--⨯⨯===⨯⨯管的过驱动电压:6,max 50.45 4.55,DD OD out V V V V -=-=>满足要求9. 计算M7的尺寸。
哈工大数电大作业
哈工大数电大作业————————————————————————————————作者:————————————————————————————————日期:H a r b i n I n s t i t u t e o f T e c h n o l o g y数字电子技术基础大作业课程名称:数字电子技术基础设计题目:血型与状态机院系:班级:设计者:学号:哈尔滨工业大学血型逻辑电路设计一实验目的1.掌握采用可编程逻辑器件实现数字电路与系统的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方法。
二设计要求1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。
三电路图1.电路模块图(简化)应用:2.内部电路组成(简化)四 编程1.源程序module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi);input N;input P;input Q;input clk;output E;output[3:0] F;output[3:0] G;output[7:0] OUT;output[3:0] CTL;reg E;reg[3:0] F;reg[3:0] G;reg[7:0] OUT;reg[7:0] OUT1;reg[7:0] OUT2;reg[7:0] OUT3;reg[7:0] OUT4;reg[3:0] CTL=4'b1110;output bi;reg bi;integer clk_cnt;reg clk_400Hz;always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)beginclk_cnt <= 1'b0;clk_400Hz <= ~clk_400Hz;endelseclk_cnt <= clk_cnt + 1'b1;//位控制reg clk_1Hz;integer clk_1Hz_cnt; //1Hz发声信号always @(posedge clk)if(clk_1Hz_cnt==32'd2*******-1)beginclk_1Hz_cnt <= 1'b0;clk_1Hz <= ~clk_1Hz;endelseclk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)CTL <= {CTL[2:0],CTL[3]};//段控制always @(CTL)OUT=OUT1;4'b1011:OUT=OUT2;4'b1101:OUT=OUT3;4'b1110:OUT=OUT4;default:OUT=4'hf;endcasealways @(M or N or P or Q)beginE=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血case(E)1:beginOUT1=8'b10001001;OUT2=8'b01100001;OUT3=8'b01001001;OUT4=8'b11111111;bi=clk_400Hz;end0:beginOUT1=8'b00010011;OUT2=8'b00000011;OUT3=8'b11111111;OUT4=8'b11111111;bi=clk_1Hz;endendcaseendalways @(M or N or P or Q) //显示输入输出血型beginif(M==1&&N==0)F=4'b1000;else if(M==0&&N==1)F=4'b0100;else if(M==1&&N==1)F=4'b0010;elseF=4'b0001;endalways @(M or N or P or Q) //显示输入输出血型beginelse if(P==0&&Q==1)G=4'b0100;else if(P==1&&Q==1)G=4'b0010;elseG=4'b0001;endendmodule2.管脚定义程序NET "M" LOC=N3;NET "N" LOC=E2;NET "P" LOC=L3;NET "Q" LOC=P11;NET "E" LOC=B2;NET "OUT[7]" LOC = L14;NET "OUT[6]" LOC = H12;NET "OUT[5]" LOC = N14;NET "OUT[4]" LOC = N11;NET "OUT[3]" LOC = P12;NET "OUT[2]" LOC = L13;NET "OUT[1]" LOC = M12;NET "OUT[0]" LOC = N13;NET "CTL[3]" LOC = K14;NET "CTL[2]" LOC = M13;NET "CTL[1]" LOC = J12;NET "CTL[0]" LOC = F12;NET "clk" LOC=B8;NET "F[3]" LOC=G1;NET "F[2]" LOC=P4;NET "F[1]" LOC=N4;NET "F[0]" LOC=N5;NET "G[3]" LOC=P6;NET "G[2]" LOC=P7;NET "G[1]" LOC=M11;NET "G[0]" LOC=M5;NET "bi" LOC=B6;五仿真图六下载设计到BASYS2开发板与实物图实物图:附:程序流程:1.基本电路设计表示O型。
哈工大模电大作业1
模电大作业(一)设计题目由AD590组成的测温电路院系电气工程及其自动化班级设计者学号指导老师设计时间由AD590组成的测温电路【摘要】:AD590是AD公司利用PN结正向电路与温度的关系制成的电流输出型两端温度传感器。
由于该器件具有良好的线性特性和互换性,因此测量精度高并具有消除电流波动的特性。
本文根据电流电压转换原理采用AD590设计了一个简单的温度测量电路。
【关键词】:AD590,测温,电流电压转换电路1.任务:利用AD590设计一个温度测量电路。
2.设计要求:(1)测量温度范围在0-30℃,输出电压上限5V。
(2)电阻选用标准值。
(3)说明所选运放型号,管脚图。
(4)AD590管脚图。
(5)对部分或全部电路进行仿真。
1.理论分析(1)AD590简介集成温度传感器AD590是一种电流型二端元件,有“+”“-”两个有效引脚,给这两个引脚提供电压后,其通过的电路与AD590的温度成正比,AD590管脚图如图1所示,最后一个引脚为传感器外壳,可悬空或者接地(气屏蔽作用)。
AD590的基本参数(1)测温范围- 55℃~+l50℃;(2)线性电流输出lμA/ K;(3)线性度好,满刻度范围为±0 .3℃;(4)电源电压范围4 -30 V,当电源电压在5 ~10V之间,电压稳定度为l %时,所产生的误差只有±0.01℃;(5)电阻采用激光修刻工艺,使在+25℃(298.2K)时,器件输出298.2μA;(6)功率损耗低。
由参数知,电流I与温度成线性关系,温度每增加1℃,电流I随之增加1µA。
在制造时按照热力学温度标定,即在0℃时,AD590的电流为I=273µA。
电流I(µA)与温度t(℃)的关系可用函数(数值关系)表示为I=273+t。
(2)测温电路设计与参数选择为了将AD590输出的电流信号转化为电压信号,应与AD590串联10K Ω的电阻,则在0℃时电阻上的压降为2.73V ,温度每增加1℃,电阻上的压降增加10mV ,为了使温度为0℃是的输出电压为0V ,应加入偏移量,来抵消此时的AD590输出。
哈工大继续教育2012电气专业作业一
哈工大继续教育2012电气专业作业一第一篇:哈工大继续教育 2012电气专业作业一2012作业专业课程作业一1.试分析有制动通路的不可逆PWM变换器进行制动时,两个VT 是如何工作的?答:在制动状态中,id为负值,VT2就发挥作用了。
这种情况发生在电动运行过程中需要降速的时候。
这时,先减小控制电压,使Ug1 的正脉冲变窄,负脉冲变宽,从而使平均电枢电压Ud降低。
但是,由于机电惯性,转速和反电动势E还来不及变化,因而造成E Ud 的局面,很快使电流id反向,VD2截止,VT2开始导通。
制动状态的一个周期分为两个工作阶段:在0 ≤ t ≤ ton 期间,VT2 关断,-id 沿回路 4 经 VD1 续流,向电源回馈制动,与此同时,VD1 两端压降钳住 VT1 使它不能导通。
在ton ≤ t ≤ T期间,Ug2 变正,于是VT2导通,反向电流 id 沿回路 3 流通,产生能耗制动作用。
因此,在制动状态中,VT2和VD1轮流导通,而VT1始终是关断的。
2.为什么PWM-电动机系统比晶闸管—电动机系统能够获得更好的动态性能?答:(1)主电路线路简单,需用的功率器件少。
(2)开关频率高,电流容易连续,谐波少,电机损耗及发热都较小。
(3)低速性能好,稳速精度高,调速范围宽,可达1:10000左右。
(4)若与快速响应的电机配合,则系统频带宽,动态响应快,动态抗扰能力强。
(5)功率开关器件工作在开关状态,导通损耗小,当开关频率适当时,开关损耗也不大,因而装置效率较高。
(6)直流电源采用不控整流时,电网功率因数比相控整流器高。
3.调整范围和静差率的定义是什么?调速范围、静差速降和最小静差率之间有什么关系?为什么说“脱离了调速范围,要满足给定的静差率也就容易得多了”?答:调速范围:生产机械要求电动机提供的最高转速和最低转速之比叫做调速范围,用字母 D 表示,即D=nmaxnmin静差率:当系统在某一转速下运行时,负载由理想空载增加到额定值时所对应的转速降落∆nN,与理想空载转速n0 之比,称作静差率 s即s= ∆nN / n0 或用百分数表示 s=(∆nN/ n0)×100%式中∆nN = n0-nN 调整范围、静态速降和最小静差率间的关系是:D=∆nNs∆nN(1-s)对于同一个调速系统,转速降落值一定,可见,如果对静差率要求越严,即要求静差率值越小时,系统能够允许的调速范围也越小。
哈工大数电大作业 作业2-状态机
数电大作业2——状态机1基本要求1)编写相关源程序;2)给出相关仿真电路图/状态转换图和仿真波形图.2设计任务利用Verilog HDL设计一个电路,对输入的一串二进制数,用于检测序列中连续3个或者3个以上的1,状态转换如图所示。
图 1要求其转换状态表如图(2)所示。
图 23.题目分析根据PPT中给出的状态转换表,我们可知该状态机共有四种状,state0=2'b00,state1=2'b01,state2=2'b10,state3=2'b11。
每种状态之间的转换关系如一中转换图所示。
在default中我们把状态归为state0。
我们采用Mealy型状态机。
4.设计步骤1.安装Quartus II软件并破解。
2.根据设计要求编写程序代码。
3.生成仿真电路图和波形如图。
5.程序代码1.状态机的源程序module FSM(clk,clr,out,in);input clk,clr,in;output out;reg out;reg[1:0] state,next_state;parameter state0=2'b00,state1=2'b01, //四种状态 state2=2'b10,state3=2'b11;always @(posedge clk )beginif (!clr) state <= state0;else state <= next_state;endalways @(state or in)begincase (state)state0: begin //状态0,AB为00 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state1;out=0;endendstate1: begin //状态1,AB为01 if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state2;out=0;endendstate2: begin //状态2,AB为10if (in==0)beginnext_state=state0;out=0;endelsebeginnext_state=state3;out=0;endendstate3: begin //状态3,AB为11 if (in==0)beginnext_state=state0;out=1;endelsebeginnext_state=state3;out=1;endenddefault:beginnext_state =state0; out=0;endendcaseendendmodule6.仿真电路图和波形图RTL电路Technology Schematic形式的电路仿真波形:仿真激励测试源程序`timescale 1 ns / 1 nsmodule fsmsim;parameter DELAY=200;reg clk_50;reg clr;reg in;FSM u1(clk_50,clr,out,in);initialbeginclr=0;#DELAY clr=1;endinitialbeginclk_50=0;forever #10 clk_50=!clk_50; endinitialbeginin=0;forever #100 in=!in;endendmodule从仿真波形可以看出,在三个clk脉冲周期内,始终输入in都为高电平,那么out信号为1。
哈工大电工学大作业
电工大作业——运料小车的电气控制 作者:*** 班号:**** 学号:*****设计课题:运料小车的电气化控制设计背景:1.有一运料小车如右图示,动作过程要求如下:小车可在A 、B 两地分别启动。
A 地启动后,小车先返回限位开关ST1处,停车30s 装料;然后自动驶向B 地,到达限位开关ST2处停车,底门电磁铁动作,卸料30s ;然后返回A 地,停车30s 装料,如此往复。
控制要求:(1)手动操作:能手动控制小车向前运行,向后运行,并能打开小车底门。
(2)连续往返自动控制:当小车启动后,能够自动往返运行。
(3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。
再次启动后,小车重复(2)中内容。
设计要求:1.设计该机床的电气控制主电路;2.设计该机床的控制电路;控制电路采用继电接触器控制或可编程控制器实现均可。
3.查阅相关资料,编写详细的电气系统设计说明书,注意规范。
实验器材:熔断器:FU按钮:SB0,SB1,SB2,SB3,SB4,SB5时间继电器:KT1,KT2接触器:KM1,KM2,KM3,KM4行程开关:ST1,ST2电磁铁:KM5说明书:自动操作:按下按钮SB1,KM2通电,并形成自锁。
小车返回行程开关ST1处,此时ST1的常开触点闭合,时间继电器KT2通电,30s 后KT2的延时闭合触点闭合(小车在这时间内装料),KM1通电,小车向ST2处运动。
当运动到ST2后,ST2的常开触点被压合,时间继电器KT1通电,此时,电磁铁KM5通电,底门打开,开始卸料,30s 后KT1的延时闭合触点闭合,小车向ST1运动,如此往复。
按下按钮SB0,机器停止。
手动操作:按下按钮SB2,小车返回ST1处。
按下按钮SB4,小车向ST2运动。
按下按钮SB5,底门打开,开始卸料。
按下按钮SB0,机器停止。
附加:KM1与KM3,KM2与KM4的功能是一样的。
只是一个是自动控制,一个是手动控制。
限位开关 限位开关控制电路:控制电路:请老师点评!如果您认为不可行,请指点一下。
哈工大数电大作业1
一.实验题目1.实验要求:用三个变量设计一个组合逻辑电路,并仿真。
2.实验题目设计:设计三人投票系统。
现有老师A、学生B、学生C,他们对于一个科技创新项目是否通过中期检查进行举手表决。
老师一票算1.5分,学生一票算1分。
该项目若在2分以上,通过中期检查;刚好2分,没有通过但可以延期检查;低于2分终止项目。
用Silinx的BASY2软件设计原理图并在开发板上进行实验。
二.题目分析1.对已知实际问题进行逻辑抽象,确定输入、输出变量。
输入变量:老师A、学生B、学生C。
投票为“1”,不投票为“0”。
输出变量:项目通过情况P。
项目延期检查Q。
项目终止R。
若项目通过,P=1;若项目不通过,P=0。
若延期,Q=1;若不延期,Q=0。
若终止项目,R=1,若不终止项目,R=0。
2.列出真值表。
A B C P Q R0 0 0 0 0 10 0 1 0 0 10 1 0 0 0 10 1 1 0 1 01 0 0 0 0 11 0 1 1 0 01 1 0 1 0 01 1 1 1 0 0表1 真值表3.写出逻辑表达式P=AC+ABQ=ABC+++++R=B C A B A C4.在xilinx 13.4上画出原理图图1 原理图三.电路仿真原理图翻译过来的硬件描述语言如下:// Inputsreg A;reg B;reg C;// Outputwire P;wire Q;wire R;// Bidirs// Instantiate the UUTsanrenjushoubiaojue UUT (.A(A),.B(B),.C(C),.P(P),.Q(Q),.R(R));// Initialize Inputs// `ifdef auto_initinitial beginA = 0;B = 0;C = 0;#100;A = 0;B = 0;C = 1;#100;A = 0;B = 1;C = 0;#100;A = 0;B = 1;C = 1;#100;A = 1;B = 0;C = 0;#100;A = 1;B = 0;C = 1;#100;A = 1;B = 1;C = 0;#100;A = 1;B = 1;C = 1;#100;//`endifendendmodule在软件下仿真的结果如下图:图2 仿真图通过仿真可知,电路原理图设计无误。
哈工大模拟电子技术大作业1
H a r b i n I n s t i t u t e o f T e c h n o l o g y模拟电子技术大作业(一)院系:航天学院4系自动化班级:设计者:学号:指导教师:吕超设计时间:2014年6月一、题目要求用集成运算放大器实现下列运算关系:要求所运用的运放不多于三个,元件要取标称值,取值范围为1KΩ≤R≤1MΩ,0.1uF≤C≤10uF。
画出电路图,并用Multisim进行仿真验证。
二、思路分析运算电路分为三部分,每部分用一个运算放大器:(1)用反相求和运算电路得到u o1 = -(2u i1 + 3u i2),其中系数由反馈电阻决定;(2)用积分运算电路得到u o2 = - 5ʃu i3dt ,其中系数由电阻和电容决定;(3)用差分比例运算电路得到u o = u o2 -u o1 = 2u i1 + 3u i2 - 5ʃu i3dt。
三、电路图四、举例并进行理论分析(1)选取u i1 =0.5V、u i2=1V的直流电源,则得到u o1 = -4V的直流输出信号;(2)选取u i3=±1V且频率为1KHZ的方波信号,则得到u o2 = ±5V的三角波输出信号;(3)最后得到的u o 是直流偏量为4V且幅度为5V的三角波。
五、Multisim仿真验证(1)u i1、u i2和u o1 的仿真结果:(2)u i3和u o2的仿真结果:(3)u o的仿真结果:五、实验总结该实验通过对几种常见的运算放大电路的灵活运用实现了题目要求的运算关系,从仿真结果来看,该设计基本满足实验要求。
实验的难点在于对电路中的各个电阻、电容值的确定,经过理论推导和对多组数值的试验,选定了设计中的实验参数,基本能够满足实验要求。
实验中发现如果积分电路不对5进行处理,而在差分比例运算电路中放大,可以得到完美的波形,但是此时反响求和运算电路的参数就会难以确定,因此实验最后放弃了该想法而选用如图的设计。
哈工大数字电路大作业2
哈工大数字电路大作业2数电大作业(二)1120410104 周胜阳一、题目要求题目:利用Verilog HDL设计一个逻辑控制电路,用于对某备进行模式切换控制,设备模式共三种,控制方式为:a) 直通模式:高电平为直通有效,接地为直通无效;b) 跳频模式:接地为跳频模式有效,高电平跳频无效;c) 调谐模式:接地为调谐模式有效,高电平调谐无效。
设备的同一时刻只有且必须有一个模式有效,其他两个无效。
为防止同时多个模式有效,模式切换之间应有较小的延时,利用按键作为三种模式切换输入(按键最好有消除抖动的措施)二、设计思路1、整体思路:本题可以视为一个Moore型状态机。
通过op的位数控制直通、调谐、调频模式的转换。
当选择直通模式时,op 为100;选择调频模式时,op为001;选择调谐模式时,op 为010。
2、按键消抖:在检测出键闭合后执行一个延时程序,5ms~10ms的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。
当检测到按键释放后,也要给5ms~10ms的延时,待后沿抖动消失后才能转入该键的处理程序。
本题中,我们将延时设为3个时钟周期三、程序设计1.代码:module zsy2(clk,anjian,out);input clk,anjian;output [2:0] out;reg [2:0] out;reg [2:0] mode1=3'b111,mode0=3'b111;wire anjian_done; //按键触发parameter D=3'b010,Z=3'b100,T=3'b001,; //定义了三个模式Z为直通,T为跳频,D为调谐reg shake1,shake2,shake3; //定义消抖用的三个变量always@(posedge clk) //上升沿触发beginshake1<=anjian;shake2<=shake1;shake3<=shake2;mode1=mode0; //这个模块用于消抖,程序来源于百度out=mode1;endassign anjian_done = (shake1 | shake2 | shake3);always@(anjian_done)begin //下面的部分就是一个状态机,和作业1一样。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
模电作业题仿真
一、设计要求
用集成运算放大器实现以下下列运算关系
⎰-+=dt u u u u i i i o 321532
要求所用的运放不多于三个,画电路图,元件要取标称值,取值范围
为uF C uF M R k 101.011≤≤≤≤Ω,
Ω。
画出电路图,并用Multisim 进行仿真验证。
二、设计思路 1、2132i i u u +部分
考虑同相求和或者反相求和电路来实现2132i i u u +部分,由于同相求和的公式推导比反相求和要复杂一些,因此,这里选择反相求和电路得到)32(21i i u u +-后,再经过反相比例运算来得到2132i i u u +。
实现该部分的电路图如图1所示:
图1 图中,输入信号1i u 为2V ,2i u 为1V 。
2、⎰
-dt u i 35部分
这是一个积分电路,时间常数为2.0=RC ,在积分电容两端并联一个较大的电阻是为输入直流量或输入失调电压、失调电流和偏置电流提供流通路径,使其不经过积分电容,从而消除积分运算电路的输出饱和现象。
该电阻的选择应保证尽可能不影响积分效果,同时又保证对输入直流量或输入失调电压等的放大倍数不能太大。
积分运算电路如图2所示:
图2 图中,输入信号3i u 为频率50HZ ,振幅为100V 的矩形波信号。
3、⎰
-+=dt u u u u i i i o 321532叠加部分
将1和2的电路图进行叠加以后,所得波形为两者求和所得结果。
此电路图如图3所示:
图3
三、仿真验证 1、2132i i u u +部分
输入信号1i u 为2V ,2i u 为1V ,理论上应得到V u u i i 73221=+,进行仿真后,得到图4的波形:
图4
输出电压为6.994V 与理论值7V 接近。
2、⎰
-dt u i 35部分
输入信号3i u 为频率50HZ ,振幅为100V 的矩形波信号,时间常数为2.0=RC ,理论上,在经过半个周期的积分后,输出电压应由O 线性减小为-5V 。
进行仿真后,输出波形如图5所示:
图5
图中,最大值为 2.481V ,最小值为-2.476V ,半个周期内,电压的改变值为2.481-(-2.476)=4.957V ≈5V ,符合理论值,但是波形整体较理论值相比向上平移了一半。
3、⎰
-+=dt u u u u i i i o 321532部分
理论上,输出波形应为最大值为7V ,最小值为2V 的三角波,但是实际上的输出波形如图6所示:
图6
实际输出波形形状与理论波形一致,但是最大值为9.447V ,最小值为4.491V ,比理论波形向上偏移了2V 多,这是由积分电路的输出波形向上漂移造成的。
四、设计总结
1、在积分运算电路的两端并联一个大阻值的电阻后,为输入直流量或输入失调电压、失调电流和偏置电流提供流通路径,使其不经过积分电容,从而消除积分运算电路的输出饱和现象,能够改善积分电路的性能,。
该电阻的选择应保证尽可能不影响积分效果,同时又保证对输入直流量或输入失调电压等的放大倍数不能太大。
2、同相输入求和电路的设计和调整比较复杂,涉及到多个电阻串并联,因此,2132i i u u 部分采用反相求和电路,再利用一反相比例运算放大电路得到。
3、平衡电阻保证了放大电路的对称性,避免输入偏置电流产生附加的差模输入电压。
4、根据不同的输出信号应选择相应的直流或者交流档位。
5、仿真开始后,波形不稳定,需要等待一段时间才能获取稳定、正确的波形。
五、本实验需进一步研究的问题 如何完全消除积分电路输出波形向上漂移的现象?应如何调节R 、C 的取值?输入信号的频率和幅度对问题的解决是否有影响?。