推荐-多功能计时电路的设计数字钟的实验设计 精品

推荐-多功能计时电路的设计数字钟的实验设计 精品
推荐-多功能计时电路的设计数字钟的实验设计 精品

实验1多功能计时电路的设计——数字钟

1.1 实验目的

1.通过实验掌握十进制加法计数、译码、显示电路的工作过程。

2.通过实验深入掌握电路的分频原理和数字信号的测量方法。

3.熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。

1.2 实验要求

1.秒信号发生电路:为计时器提供秒信号

2.计时电路:完成0分00秒~9分59秒的计时功能。

3.清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。

4.译码显示电路:显示计时电路产生的数字信息。

5.系统级联调试:将以上电路进行级联完成计时器的所有功能。

1.3 实验原理及框图

图1.1 三位计时器示意图

计时电路示意图如图1.1所示,计时电路完成计时功能,并且将计时结果传送至显示电路,进而实现显示功能。原理框图如图1.2所示,主要由计时电路,秒信号发生电路,清零电路和译码显示电路组成。计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。

图1.2 数字钟的原理框图

1.4 单元电路设计

1.秒信号发生电路

图1.3 秒信号发生电路

秒信号发生电路为计时电路提供驱动信号,电路原理如图1.3所示。为提供较为精确的秒信号,本设计中振荡电路采用215Hz 的石英晶体管为主体的晶振电路,并作为电路的秒信号源。由于振荡电路产生的源信号为215Hz ,而秒的基准信号频率为1Hz ,则需要对215Hz 信号进行分频,得到1Hz 信号。分频器采用CD4060和74LS74来实现,CD4060为14位二进制串行计数器,各管脚功能如表1.1所示,功能表如表1.2所示。虽然CD4060内部有14级由T 触发器构成的二分频器,但实际输出端只有10个:Q 4~Q 10、Q 12~Q 14。Q 1~Q 3以及Q 11

并不引出。CP 1????、CP 0?????、CP 0为晶振电路的引出端,需接外部石英晶体。Cr 为复零端,为高电

平或正脉冲时振荡器停振。从输出功能看,CD4060能得到10种不同的分频系数,最小为24分频,最大为214分频,即将215Hz 送入该芯片,最大分频输出Q 14输出信号频率为2Hz 。

由于CD4060最多能完成14级二分频,所以还需要再加一级二分频,才能把4060输出的2Hz 信号变成秒信号。外接二分频器可采用D 触发器(74LS74)构成的二分频电路,74LS74管脚功能如表1.3所示,该芯片有上片和下片两个D 触发器,2Hz 信号经过二分频电路得到1Hz 的秒脉冲信号,即将D 触发器的同相位输出Q 端与触发信号D 端连接在一起,复位端和控制端接电源,使该两端口无效,则Q 端的输出信号即为1Hz 的秒脉冲信号。

所用器件:215Hz 晶体管1个、22MΩ电阻1个、20pF 电容1个、10pF 电容1个、CD4060(分频器)1片、74LS74(D 触发器)1片。

表1.1 CD4060管脚功能

表1.2 CD4060功能表

表1.3 74LS74管脚功能

2.计时电路

该电路是本实验的关键部分,由分计数器、秒十位计数器和秒个位计数器构成,电路均使用CD4518BCD码计数器来实现。CD4518管教如图1.4所示,该计数器为双十进制同步加法计数器,片子内部封装两个相同且独立的十进制计数器,每个计数器中都含有四位二进制的技术单元,每个计数器含有两个时钟输入端“CP”和“EN”,简称双时钟,可以根据使用要求来选择不同的时钟输入,两者所不同在于:“CP”端对时钟的上升沿有效,“EN”端对时钟的下降沿有效。该计数器功能表如表1.4所示。

图1.4 CD4518管教图

表1.4 CD4518功能表

计时整体电路如图1.5所示,分位计数器和秒个位计数器均是从0~9循环计数(模10计数),可采用CD4518直接实现十进制计数功能;秒十位计数器为六进制计数器,需要将CD4518的模10计数变换为一个从0~5循环的模六计数:当4518计数到6时,将Q C,Q B 引到与门74LS21的输入端,此时74LS21输出一个高电压,送回至4518的Cr端,实现复位(4518回0),由于4518的Cr端为异步复位,因此4518需要计数到6时才引出复位信号,并且6状态非常短暂,显示器并不显示,所以实际效果还是0~5显示。74LS21为四输入与门,片子内部封装两个相同且独立的四输入与门,该电路中只用到1个与门的2个输入,因此需要将该与门的其他两个输入端接5V电源+极,不可悬空不接。

搭建电路时,首先将所有芯片电源端(V CC和GND端)分别连接至5V电源+、-极;对于秒个位计数器,将秒信号发生电路输出的秒信号(1Hz信号)送入秒个位计数器的2CP端,同时2EN端接5V电源+极,2Cr端接5V电源-极(注意:当清零电路搭建完成后,需将清零电路的输出替换2Cr端的5V电源-极),秒个位计数器即可完成0~9循环计数;对于秒十位计数器,将秒个位计数器的输出2QD端送入秒十位计数器的2EN端,完成秒个位到秒十位的进位(当秒个位计数器从9跳至0时,2QD端得到0~9循环计数过程中唯一的下降沿,将此下降沿送至秒十位计数器的2EN端,即可实现秒十位计数器加1,实现进位),同时2CP 端接5V电源+极,秒十位计数器即可在进位信号的驱动下完成0~5循环计数。对于分位计数器,将秒十位计数器的输出2Q C端送入分位计数器的2EN端,完成秒十位到分位的进位(当秒十位计数器从5跳至0时,2Q C端得到0~5循环计数过程中唯一的下降沿,将此下降沿送至分位计数器的2EN端,即可实现分位计数器加1,实现进位),同时2CP端接5V电源+极,2Cr端接5V电源-极(注意:当清零电路搭建完成后,需将清零电路的输出替换2Cr 端的5V电源-极),分位计数器即可完成0~9循环计数。

所用器件:CD4518(计数器)3片、74LS21(与门)1片。

图1.5 计时电路

3.清零电路

该电路具有开机清零和手动清零功能。电路原理如图1.6所示,将图1.5计时电路的秒个位和分位的清零端即CD4518的管脚15(高电压有效)原来的接5V电源-极导线拔开,将非门输出送至2Cr端,而秒十位CD4518的清零端原来接74LS21的输出,需要将此输出和图1.6中非门输出送入一个或门,再将或门输出送至秒十位CD4518的清零端,才能同时实现秒十位计数器的清零功能和模6计数功能。电路管脚连接如图1.7所示,对于清零电路,电路正常工作时开关打开,刚开机时,由于电容上的电压不能突变,电容两端初始为低电压,经过一个非门输出高电压,送到CD4518的2Cr端,整个计时电路清零,进而实现电路开机时清零,当电容充满电以后,非门的输入端为高电压,非门输出低电压,2Cr端无效,CD4518实现正常计数,电路正常工作。

按下开关后,电容、电阻组成一个回路,电容放电,当电容储存电量放完后,电容两端电压为低电压,即非门的输入端为低电压,非门输出高电压,送到CD4518的2Cr端,整个计时电路清零,进而实现电路手动清零。

所用器件:CD4069(非门)1片、74LS32(或门)1片,1kΩ电阻2个、10μF电容1个、开关1个。

图1.6 清零电路原理图

图1.7 清零电路管脚连接图

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字计时器设计报告

多功能数字计时器 设计报告

电工电子 综合实验报告 题目:多功能数字计时器设计 目录 1.实验内容简介

2.电路设计要求 3.电路原理简介 4. 单元电路设计 4.1 秒信号发生电路 4.2 计时电路 4.3 开机清零电路 4.4 校分电路 4.5 报时电路 5.总电路图 6.附加电路--起停电路 7.实验感想 8.附录 8.1 元件清单 8.2 芯片引脚图和功能表 9.参考文献 1.实验内容简介 本设计采用中小规模集成电路,要求设计一个数字计时器,能够完成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。 2.实验内容

1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。 2.设计一个计时电路,完成0分00秒~9分59秒的计时功能。3.设计报时电路,使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz) 4.设计校分电路,在任何时候,拨动校分开关,可进行快速校分。 5.设计清零电路,具有开机自动清零功能,而且在任何时候,按动清零开关,能够进行计时器清零。 6.系统级联调试,将以上电路进行级联完成计时器的所有功能。7.可增加数字计时器附加功能,例如数字计时器定时功能、电路起停功能、电路采用动态显示等。 3.电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下:

4.单元电路设计 一.秒信号发生器 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在一起实现倍频器,则Q端的输出信号即为1Hz的秒脉冲信号。报时电路所需要的1KHz,2 KHz的脉冲信号由4060的管脚Q4和管脚Q5提供。

三位数字显示的计时系统_课程设计

三位数字显示的计时系统设计

正文 1、设计总体思路 1.1课程设计要求 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1)精确到秒,最大计时为9分59秒; 2)开机时自动清零; 3)具有启停输入控制功能,按下启停输入控制键时,开始计时,再次按 下时,停止计时。 4)用7段数码管显示时间; 5)功能扩展(自选) 1.2设计目的 1)进一步熟悉和掌握常用数字电路元器件的应用。 2)巩固加深理解数字电路的基本理论知识,学习基本理论在实践中综合 运用的初步经验,掌握数字电路系统设计的基本方法及在面板上接线的方法、技术、要注意的问题。 3)培养数字电路实物制作、调试、测试、故障查找和排除的方法。 4)培养细致、认真做实验的习惯。 5)培养实践技能,提高分析解决实际问题的能力。 6)学会运用仿真软件进行电路仿真。 7)熟悉555定时器产生脉冲的应用。

1.3设计总体思路 1)主电路 用3个7段数码管显示时间,3块74LS192同步加减计数器实现计时功能,时钟脉冲用555定时电路产生秒脉冲,1块74LS76实现清零和启停功能。 2)控制电路 按下清零按钮后,使74LS192的清零端为高电平,使其清零。 按下暂停/继续按钮后,使74LS192的CP端为高电平,不再有上升沿输入,使其保持之前的数据不变,再次按下按钮后,74LS192的CP端为 低电平,当下一个高电平输入时,将有上升沿,使74 LS192计数,从而实现暂停/继续功能。 2、基本原理及框图 1)基本原理 开机启动后,给第3块74LS192一个信号,当上升沿到来时,74LS192的输出端输出加1,直到输出为9时,产生进位信号,送到第2块74LS192的UP端,直到第2块74LS192的输出为6时,使其置数为0,同时给第一块74LS192的UP端一个信号使其输出加1,循环进行。74LS192的功能表见下表。

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能数字计时器设计报告

精心整理 电工电子 综合实验报告 1. 2. 3. 4. 4.14.24.34.44.55.6.附加电路--起停电路 7.实验感想 8.附录 8.1元件清单

8.2芯片引脚图和功能表 9.参考文献 1.实验内容简介 本设计采用中小规模集成电路,要求设计一个数字计时器,可以完成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。 2 1 2 3 ),9分 4 5. 6 7 用动态显示等。 3.电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下: 4.单元电路设计

一.秒信号发生器 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在 1KHz, 2KHz )的EN 的跳 和2Q3 作 实现。 显示电路采用三片CD4511显示译码器和三个七段共阴显示字,电路从0分00秒计到9分59秒,译码显示电路用三片四线七线译码器CD4511进行译码,而采用共阴极七段LED数码管进行循环显示。CD4511的输入接到相应计数器的输出,而它的输出端与数码管的相应端相连,数码管通过300的电阻接地。 三.开机清零电路

该电路具有开机清零和控制清零功能。其中秒个位和分位的清零端即CC4518的管脚7和15(高电平有效)接在第一个非门之后,秒十位74LS161的清零端即管脚1(低电平有效)接在第二个非门之后。刚开机时,由于电容上的电压不能突变,电容两端为低电平,经过第一个非门输出高电平,接到CC4518的管脚7和15,实现秒个位和分位的清零。在经过第二个非门输出低电平,接到74LS161的管脚1,实现秒 4518 2被选通,“0” 用需要报时的时刻所对应的计数器的输出作为触发信号来驱动蜂鸣器报时,因为需要在9分53秒、9分55秒、9分57秒各报出一个低音,在9分59秒报出一个高音。具体设计过程如下: 将各时刻各位对应的二进制码作如下图的比较:

推荐-多功能计时电路的设计数字钟的实验设计 精品

实验1多功能计时电路的设计——数字钟 1.1 实验目的 1.通过实验掌握十进制加法计数、译码、显示电路的工作过程。 2.通过实验深入掌握电路的分频原理和数字信号的测量方法。 3.熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。 1.2 实验要求 1.秒信号发生电路:为计时器提供秒信号 2.计时电路:完成0分00秒~9分59秒的计时功能。 3.清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。 4.译码显示电路:显示计时电路产生的数字信息。 5.系统级联调试:将以上电路进行级联完成计时器的所有功能。 1.3 实验原理及框图 图1.1 三位计时器示意图 计时电路示意图如图1.1所示,计时电路完成计时功能,并且将计时结果传送至显示电路,进而实现显示功能。原理框图如图1.2所示,主要由计时电路,秒信号发生电路,清零电路和译码显示电路组成。计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。 图1.2 数字钟的原理框图 1.4 单元电路设计 1.秒信号发生电路

图1.3 秒信号发生电路 秒信号发生电路为计时电路提供驱动信号,电路原理如图1.3所示。为提供较为精确的秒信号,本设计中振荡电路采用215Hz 的石英晶体管为主体的晶振电路,并作为电路的秒信号源。由于振荡电路产生的源信号为215Hz ,而秒的基准信号频率为1Hz ,则需要对215Hz 信号进行分频,得到1Hz 信号。分频器采用CD4060和74LS74来实现,CD4060为14位二进制串行计数器,各管脚功能如表1.1所示,功能表如表1.2所示。虽然CD4060内部有14级由T 触发器构成的二分频器,但实际输出端只有10个:Q 4~Q 10、Q 12~Q 14。Q 1~Q 3以及Q 11 并不引出。CP 1????、CP 0?????、CP 0为晶振电路的引出端,需接外部石英晶体。Cr 为复零端,为高电 平或正脉冲时振荡器停振。从输出功能看,CD4060能得到10种不同的分频系数,最小为24分频,最大为214分频,即将215Hz 送入该芯片,最大分频输出Q 14输出信号频率为2Hz 。 由于CD4060最多能完成14级二分频,所以还需要再加一级二分频,才能把4060输出的2Hz 信号变成秒信号。外接二分频器可采用D 触发器(74LS74)构成的二分频电路,74LS74管脚功能如表1.3所示,该芯片有上片和下片两个D 触发器,2Hz 信号经过二分频电路得到1Hz 的秒脉冲信号,即将D 触发器的同相位输出Q 端与触发信号D 端连接在一起,复位端和控制端接电源,使该两端口无效,则Q 端的输出信号即为1Hz 的秒脉冲信号。 所用器件:215Hz 晶体管1个、22MΩ电阻1个、20pF 电容1个、10pF 电容1个、CD4060(分频器)1片、74LS74(D 触发器)1片。 表1.1 CD4060管脚功能 表1.2 CD4060功能表 表1.3 74LS74管脚功能

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

多功能计时器-课程设计

信息工程学院课程设计报告书题目: 多功能计时器 专业:电子信息科学学技术 班级: 学号: 学生姓名: 指导教师: 2012 年 12 月 24 日

信息工程学院课程设计任务书 年月日

信息工程学院课程设计成绩评定表

摘要 此多功能计时器是基于741s48、74ls192、555定时器、CD40161设计的,由六个主要部分组成,即控制电路、秒脉冲发生器、计数器、译码显示器、置数电路以及声光报警电路,包含置数(00至99)、1s倒计时、开启、暂停、连续、清零以及到点声光报警等主要功能,也能完成一个完整的系统过程,可用于各种竞赛计时,交通灯系统,及报警装置。计时范围为00至99,可智能控制。 关键词:多功能计时器、1s倒计时、连续、声光报警

目录 目录 (4) 1 任务提出与方案论证 (5) 1.1 任务提出 (5) 1.2 方案论证 (5) 2 总体设计 (6) 2.1 总体框图 (6) 2.2 总体电路 (7) 3 详细设计 (8) 3.1秒脉冲发生器 (8) 3.2译码计时电路 (10) 3.3控制电路 (13) 3.3.1总开关 (13) 3.3.2单刀双掷开关 (13) 3.4反馈电路 (14) 3.5报警电路 (14) 3.6置数电路: (15) 4 总结 (17) 5 参考文献 (18)

1 任务提出与方案论证 1.1 任务提出 设计一种多功能计时器,要求实现以下功能: 置数、1s倒计时、开启、暂停、连续、清零以及到点声光报警,计时范围为00至99,可智能控制。能任意定时,开启和暂停及清零,1秒的准确延时,及到点声光报警。 1.2 方案论证 秒脉冲发生器:可以选用晶振产生,或者用555定时器或者555与CD40161同时产生,为了实现反馈,让计时器计数到零时停止,我选用CD40161 ,即实现了1s计数有可以形成反馈。译码电路:我选用4线-七段译码器/驱动器74LS48来实现。 计时电路:我选用十进制可逆计数器74LS48 ,可以用来置数,同时也可以来产生减计数。控制电路:用按键和反馈来实现。 报警电路:用speaker和led来实现。 置数电路:用单刀双掷开关选通74ls48的置数端,通过置0或置1来控制。

三位数字显示计时器

专业班级 学号 姓名 成绩 一、实验名称 3位数字显示计时系统 二、设计任务与要求 设计一个3位数字显示时间计数系统,以供运动员比赛用。要求精确到秒最大计时9分59秒。可以用按钮开关控制计时器的启动、停止及清零,开机时可以自动清零。 三、实验器件 1.74LS90 74LS08 2.数字电路试验箱 四、方案设计 数字显示计时系统是通过控制电路使用加法计数器对连续脉冲进行计数, 而加法计数器通过译码器来显示它所记忆的脉冲周期个数。 1.连续脉冲产生 连续脉冲可选用555定时器构成的多谐振荡器产生,用555定时器构成的多谐振荡器,定时元件是电阻和电容,普通器件误差较大易受温度变化的影响,对于对时间要求高的应用场合,其误差较大。连续脉冲也可选用石英晶体振荡器通过计数器分频产生,可获得精确的秒脉冲信号。 2.技术及译码显示 加法计数器构成电子秒表的计数单元,首先用一个分频器对多谐振荡器产生的脉冲信号进行分频,然后将输出端取得周期为1s 的矩形脉冲送入计数器中,计数器都接成8421码十进制形式,其输出端与译码显示单元的相应输入端连接,可显示0~59秒;0~9分计时。 3.控制部分 控制部分用来控制计时器的清零、计时、停止。采用三位环形计数器来实现,环形计数器的输出分别作为计数部分的清零信号、计时信号和停止信号。 ……………………………………装………………………………………订…………………………………………线………………………………………

五、实验原理 1.系统框图如下 2.系统工作原理 实现一个三维数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路季译码显示电路等组成部分。秒计数电路满60向分计数电路进位(显示00~59s ),分计数电路满足10(显示0~9)后清零,等待重新计时。控制开关为两个;启动(继续)/暂停计时开关和复位开关。其中: (1)显示器:采用三片LED 显示器把各位的数值显示出来,是秒表最终的输出,有分、秒和毫秒位。 (2)计数器:对时钟信号进行计数并进位,毫秒和秒之间10进制,秒和分之间60进制。 (3)译码器:对脉冲计数进行译码输出到显示单元中; 3.元器件简介 74LS90计数器是一种中规模二一五进制计数器,管脚引线及其功能表如下。 输入 输出 CP R 01 R 02 S 91 S 92 Q D Q C Q B Q A × 1 1 0 × 0 0 0 0 1 1 × 0 0 0 0 0 × × 1 1 1 0 0 1 ↓ × 0 × 0 计数 0 × 0 × 0 × × 0 × 0 0 ×

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

多功能数字计时器设计报告

电工电子 综合实验报告 题目:多功能数字计时器设计

目录 1.实验容简介 2.电路设计要求 3.电路原理简介 4. 单元电路设计 4.1 秒信号发生电路 4.2 计时电路 4.3 开机清零电路 4.4 校分电路 4.5 报时电路 5.总电路图 6.附加电路--起停电路 7.实验感想 8.附录 8.1 元件清单 8.2 芯片引脚图和功能表 9.参考文献 1.实验容简介 本设计采用中小规模集成电路,要求设计一个数字计时器,可以

完成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。 2.实验容 1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。 2.设计一个计时电路,完成0分00秒~9分59秒的计时功能。3.设计报时电路,使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz) 4.设计校分电路,在任何时候,拨动校分开关,可进行快速校分。 5.设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零。 6.系统级联调试,将以上电路进行级联完成计时器的所有功能。7.可增加数字计时器附加功能,例如数字计时器定时功能、电路起停功能、电路采用动态显示等。 3.电路原理简介 数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。其原理框图如下:

4.单元电路设计 一.秒信号发生器 秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。将D触发器的Q端与D端扭接在一起实现倍频器,则Q端的输出信号即为1Hz的秒脉冲信号。报时电路所需要的1KHz,2 KHz的脉冲信号由4060的管脚Q4和管脚Q5提供。

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

相关文档
最新文档