MatlabSimulink和EDA仿真工具

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

matlabtb modsimrand mfunc modsimrand_plot -rising /modsimrand/clk -socket portnum
– 用DSP Builder 建模,生成HDL,仿真 – 用DSP Builder将HDL导入,仿真
– HIL(Quartus+JTAG+实验板)

ModelSim
– 利用hdl coder生成的批命令和测试平台,然 后用Modelsim进行单独仿真 – Matlab/Simulink和Modelsim协同仿真
Matlab/Simulink ModelSim仿真
Matlab Link ModelSim
MATLAB 连接HDL仿真器
MATLAB 连接HDL仿真器
• MATLAB test bench function wraps around and communicates with the HDL simulator during a test bench simulation session.
ModelSim版本
• ModelSim AE
– Altera OEM 版,功能有限,速度较慢。有厂 家仿真库
• ModelSim PE • ModelSim SE
– 专业版, 性能最强。
Altera和ModelSim
• ModelSim 的版本
– ModelSim AE 要有License – ModelSim AE Free 发行速度跟不上SE 版和Quartus 的 速度
1. 建立库
vlib <库名> 缺省值是 work工作库
2. 映射库到物理目录
vmap <logical_name> <directory_path> 例 vmap work work
3. 编译源代码
vcom <file1>.vhd <file2>.vhd vlog <file1>.v <file2>.v
– 验证电路结构是否符合设计要求,估算电路的 门延迟, 不考虑布线的延迟
• 布局布线后仿真(时序仿真)
– 验证是否存在时序违规。电路已经映射到具体 的工艺环境,综合考虑电路的门延迟和路径延 迟
ModelSim仿真
• • • • 设置断点 单步运行 查看当前信号值 从仿真波形回溯源代码
ModelSim的安装
1. 改变工作目录到存放仿真库的目录下 2. 创建新的库 3. 编译库
Altera仿真库-从Quartus 中提取
• 编译库
–对新创建的库进行编译——选择源文件: Quartus安装目录\eda\sim_lib\220pack.vhd altera_mf_components.vhd 再选择编译余下的6个文件
Matlab/Simulink 和EDA仿真工具
ModelSim
Links
Cadence HDL simulator Incisive Mentor Graphics Simulators ModelSim
仿真
• 功能仿真(前仿真)
– 验证电路功能是否符合设计要求,不考虑电路 的门延迟
• 综合后仿真
连接ModelSim流程例modsimrand.m
Matlab启动 server in shared ModelSim仿真
memory mode:
hdldaemon
modsimrand_plot.m function [iport,tnext] = modsimrand_plot(oport, tnow, portinfo)
Altera仿真库
2 从Altera 官方网站下载预编译的仿真库
http://www.altera.com/support/software/do wnload/eda_software/modelsim/msmindex.jsp
Altera仿真库-从Quartus 中提取
3. 从Quartus 中提取
4. 启动仿真器
vsim top_entity
ModelSim基本 仿真步骤
5. 添加信号到Wave窗 add wave /* 6. 添加激励, 运行仿真
force run <time_step> <time_units>
在Matlab/Simulink环境中仿真HDL
• DSP Builder
– SIL(Quartus)
Altera仿真库-从Quartus 中提取
• 还可以再编译其他器件系列的库 • 修改ModelSim 安装目录下的 ModelSim.ini文件只读属性为可写,以便 记录仿真库的路径及映射关系
ModelSim用户界面
ຫໍສະໝຸດ Baidu
库文件视图
命令控制台
ModelSim> 设计加 载前的提示符
VSIM> 设计加载后 的提示符
Altera的仿真库
• 仿真库
– IP Core 仿真库
• 如果设计中包含了MegaWizard 生成的IP Core 或 直接调用了LPM, 则必须制定Altera 仿真库
– 器件族仿真库
Altera仿真库
为ModelSim SE添加Altera的仿真库三种方法
1. 从ModelSim AE版中复制Altera的仿真库 – 1安装ModelSim AE版 – 2在ModelSim AE的安装目录下的Altera目录 就是所要的仿真库
1. 运行setup,安装程序。选择“Full product”选项 。当询问security key的时候,选择 NO。 当看 见“ License Wizard”对话框时候,选择“close” 。 2. 运行keygen,生成license.dat, 把它拷贝到新建的 c:\flexlm 目录中。 3. 设置环境变量: LM_LICENSE_FILE = c:\flexlm\license.dat (选择“我的电脑” 按右键 ,选“属性”,再选“高级”,可以看到环境变 量按钮) 4. 运行ModelSim,OK
– ModelSim SE 不带FPGA/CPLD厂家的仿真库
ModelSim版本
• 如用于Matlab/Simulink协同仿真
• 选用ModelSim 6.1~6.3
• 如选用ModelSim AE
– 可以用 相应ModelSim Se的 License. 例如: 最新版本的ModelSim AE 6.4 可以使用 ModelSim Se 6.4的License
相关文档
最新文档