巴克码识别器

合集下载

巴克码识别器的设计与仿真

巴克码识别器的设计与仿真

摘要本课程设计介绍了一种简单的关于双音多频信号的产生与检测的方法。

首先设计了关于双音多频(DTMF)信号的产生与检测的电路图,其中核心部件是MT8870和MC74HC4511;然后通过matlab仿真软件完成对双音多频信号的检测,并采用Goertzel算法对信号进行频谱分析。

关键字:双音多频信号,matlab,Goertzel目录第1章绪论....................................... 错误!未定义书签。

第2章双音多频(DTMF)信号的设计 ................. 错误!未定义书签。

2.1设计目的及意义 .............................. 错误!未定义书签。

2.2双音多频(DTMF)信号的组成 .................. 错误!未定义书签。

2.3DTMF信号的应用 .............................. 错误!未定义书签。

2.4仿真软件介绍 ................................ 错误!未定义书签。

PROTEL软件概述 ................................. 错误!未定义书签。

MATLAB软件概述 ................................. 错误!未定义书签。

2.5电路设计中的核心器件 ........................ 错误!未定义书签。

2.6主要参数设置 ................................ 错误!未定义书签。

3.1双音多频信号的产生 .......................... 错误!未定义书签。

3.2双音多频信号检测 ............................ 错误!未定义书签。

3.3MATLAB工具箱函数GOERTZEL...................... 错误!未定义书签。

巴克码识别器

巴克码识别器

任务书一、课程设计的目的1.熟悉SystemView 仿真环境并能在其环境下了解并掌握通信系统的一般设计方法,具备初步的独立设计能力;2.提高综合运用所学理论知识独立分析和解决问题的能力。

二、课程设计的原理使用一个8位移位寄存器的前7位和3个非门构成检测电路,加法器的输出经过判决器检测出大于6的单峰脉冲。

数据流简单地用了一个100Hz 的PN 序列代替,时钟信号的频率与PN 数据流的速率一样也为100Hz 。

SystemView 是美国Elanix 公司推出的基于个人计算机WindoWS 环境的动态通信系统仿真工具,它可用于DSP 信号处理、滤波器设计以及复杂的通信系统数学模型的建立和仿真分析.它的用户界面友好,分析窗口功能强大,用户只需用鼠标点击图符库中的相应图符就能完成各种系统的搭建、设计和仿真分析。

(1)巴克码巴克码是一种具有特殊规律的二进制码字,是一种非周期序列,它的特殊规律是:若一个n 位的巴克码,每个码元只可能取值+1或-1,它的局部自相关函数为)(0)0(1)0)(1n j n j j n x x j R ji j n i i >=<<±===+-=∑( (1) 从式(1)中可以看到巴克码计算的局部自相关函数R (j )具有尖锐单峰特性,从后面的分析同样可以看出,它的识别器结构非常简单。

目前只搜索到10组巴克码,其码组最大长度为13,全部列在下表中。

表中+表示+1,–表示–1。

表2.1巴克码对应表巴克码识别器的电路框图如图1所示,它由7级移位寄存器、相加电路和判决电路等组成[9]。

移位寄存器输出联线与巴克码组l 1 l一1—1 1—1相对应,可从“1”端输出,也可从⋯0端输出.它实现对输入的巴克码的相关运算.当输入的码字1存人移位寄存器时,“1”端的输出为高电平,设其为+1,而“0”端的输出为低电平,设其为0(或一1);反之,当输入的码字一1存人移位寄存器时,“0”端的输出为高电平+1,⋯1端的输出为低电平0(或一1).由于各移位寄存器输出端的接法和巴克码的规律一致,这样,如果输人移位寄存器的数字有一位或多位与对应的巴克码位不同,则该位就输出低电平0(或一1),所以将移位寄存器的各位相加时其和就一定会小于7I而只有输入为巴克码时,7级移位寄存器的输出端都为+1,相加器才可能输出7.若将判决器的判决电平设置为6.5,那么就只有与巴克码组完全相同的序列输入时,判决器才有输出,从而可以识别出巴克码组.三、各具体模块实现及调试过程(1)巴克码发生器SystemView在通信图符库中给出了一个PN码发生器的图符。

巴克码识别器的设计与FPGA的实现

巴克码识别器的设计与FPGA的实现

巴克码识别器的设计与FPGA的实现
龙光利
【期刊名称】《科技广场》
【年(卷),期】2006(000)004
【摘要】阐述了巴克码组的定义和7位巴克码识别器的组成框图.在MAX+PLUS2软件平台上,给出了利用现场可编程门阵列器件设计7位巴克码识别器电路,为了便于对设计的7位巴克码识别器进行检测,该电路还设计了一个周期为31位长的模拟7位巴克码产生器,并进行了编译和波形仿真.综合后下载到可编程器件
EPF10K10LC84-4中,测试结果表明,达到了预期的设计要求.
【总页数】3页(P110-112)
【作者】龙光利
【作者单位】陕西理工学院电信工程系,汉中,723003
【正文语种】中文
【中图分类】TN7
【相关文献】
1.基于DSP Builder的巴克码检出设计及FPGA实现 [J], 任璟n;张安堂;岳鸿鹏
2.采用两组巴克码识别器的帧同步方法 [J], 张安堂;任璟
3.基于FPGA的集中插入式巴克码帧同步的实现 [J], 李世超
4.用VerilogHDL设计可辨别相位模糊的巴克码识别器 [J], 张伟
5.基于FPGA的连贯式插入巴克码帧同步的实现 [J], 任国凤;田竹梅
因版权原因,仅展示原文概要,查看原文内容请购买。

(精编)哈工大通信原理实验报告

(精编)哈工大通信原理实验报告

(精编)哈工大通信原理实验报告H a r b i n I n s t i t u t e o f T e c h n o l o g y通信原理实验报告课程名称:通信原理院系:电子与信息工程学院班级:姓名:学号:指导教师:倪洁实验时间:2015年12月哈尔滨工业大学实验二帧同步信号提取实验一、实验目的1.了解帧同步的提取过程。

2.了解同步保护原理。

3.掌握假同步,漏同步,捕捉动态和维持态的概念。

二、实验原理时分复用通信系统,为了正确的传输信息,必须在信息码流中插入一定数量的帧同步码,帧同步码应具有良好的识别特性。

本实验系统帧长为24比特,划分三个时隙,每个时隙长度8比特,在每帧的第一时隙的第2至第8码元插入七位巴克码作为同步吗。

第9至24比特传输两路数据脉冲。

帧结构为:X11100101010101011001100,首位为无定义位。

本实验模块由信号源,巴克码识别器和帧同步保护电路三部分构成,信号源提供时钟脉冲和数字基带脉冲,巴克码识别器包裹移位寄存器、相加器和判决器。

其余部分完成同步保护功能。

三、实验内容1.观察帧同步码无错误时帧同步器的维持状态。

2.观察帧同步码有一位错误时帧同步器的维持态和捕捉态3.观察帧同步器假同步现象和同步保护器。

四、实验步骤1.开关K301接2.3脚。

K302接1.2脚。

2.接通电源,按下按键K1,K2,K300,使电路工作。

3.观察同步器的同步状态将信号源中的SW001,SW002,SW003设置为11110010,10101010,11001100(其中第2-8位为帧同步码),SW301设置为1110,示波器1通道接TP303,2通道接TP302,TP304,TP305,TP306,观察上述信号波形,使帧同步码(SW001的2-8位)措一位,重新做上述观察,此时除了TP303外,个点波形不变,说明同步状态仍在维持。

4.观察同步器的失步状态。

关闭电源,断开K302,在开电源(三个发光二极管全亮)。

EDA课程ROM扩展巴克码检测器

EDA课程ROM扩展巴克码检测器

控制系统
用于检测控制系统的异 常情况,提高控制系统
的可靠性和稳定性。
医疗设备
用于检测医疗设备中的 异常情况,提高医疗设 备的安全性和可靠性。
优势与局限性
优势
ROM扩展巴克码检测器具有高可靠 性、高稳定性、高抗干扰能力等优点 ,能够有效地检测和纠正信号中的错 误,提高系统的性能和可靠性。
局限性
由于ROM扩展巴克码检测器的实现较 为复杂,且需要预先定义检测码,因 此在某些情况下可能无法适应快速变 化的环境和信号。
软件实现
软件算法设计
根据巴克码检测器的算法 需求,设计合理的软件算 法,包括编码、解码和检 测等算法。
编程语言选择
选择适合的编程语言,如 C/C或Verilog等,进行软 件算法的实现。
代码优化与调试
对实现的算法进行优化和 调试,确保软件的正确性 和性能。
测试与验证
测试环境搭建
搭建适合的测试环境,包括硬件测试平台和软件测试 平台。
ROM扩展巴克码检测器可根据不同的 应用场景和需求进行灵活配置,具有 广泛的应用前景。
该检测器具有较高的检测效率和准确 性,能够在较短时间内完成信号的解 码和纠错。
对未来研究的展望
01
深入研究其他类型的巴克码
除了ROM扩展巴克码检测器,还有其他类型的巴克码,如Reed-
Solomon码等。未来可以进一步研究这些巴克码的性能和应用。
高速化
随着信号传输速率的不断提高,ROM扩展巴克码检测器 的高速化将成为未来的发展方向,能够适应高速信号的检 测和纠正需求。
05
结论
总结课程收获
掌握ROM扩展巴克码检测器的原理
01
通过学习,我深入理解了ROM扩展巴克码检测器的工作原理,

数字通信原理复习题及参考答案

数字通信原理复习题及参考答案

中南大学网络教育课程考试复习题及参考答案数字通信原理一、填空题:1.已知二进制数字信号每个码元占有的时间为1ms ,0、1码等概率出现,则码元速率为__________,信息速率为__________;2.从信息传输角度来看,数字通信系统的主要质量指标是__________和__________。

3.高斯白噪声是指噪声的概率密度服从__________分布,功率谱密度服从__________分布。

4.通常,在纠、检错编码中引入的监督码元越多,信道的____ _ _____下降也越多。

5.若要检出3个错码,则分组码的最小码距dmin 应__ __ ____。

6.码重是码组中__ __的个数。

7.对线性分组码,如果找到了码的__ _ ___,那么编码方法就完全确定了。

8.常用的简单差错控制码有奇偶监督码、水平奇偶监督码、水平垂直奇偶监督码、群计数码和_____码。

9.已知(5,1)重复码,它的两个码组分别为00000和11111,则(5,1)重复码的最小码距为________,只用于检错,能检出________位错码。

10.四进制数字信号的信息传输速率为800b/s ,其码元速率为____________,若传送1小时后,接收到40个错误码元,其误码率为____________。

11.数字信号有时也称离散信号,这个离散是指信号的___________是离散变化的,而不一定指___________离散12.如果在已知发送独立的符号中,符号“E”出现的概率为0.125,则符号“E”所包含的信息量为 。

13.在2PSK 输出信号中存在倒相现象,其解决方法是采用___________。

14.假设分组码的最小码距为8,则它能检测误码的位数至多为 15.假设线性分组码信息码元长为5,若希望所编数字调制能够纠正1位错,码字最小码长为 。

16.通信系统的性能指标主要有 和 ,在模拟通信系统中前者用有效传输带宽衡量,后者用接收端输出的 衡量。

Barcode 扫描器 模块 用户 手册说明书

Barcode 扫描器 模块 用户 手册说明书

Barcode Scanner ModuleUser ManualThis is a small 1D/2D codes reader, by using the intelligent image recognition algorithm, it will decode the barcode or 2D code on paper or screen, fast and accurately.Through the onboard USB and UART interface, it can be directly plugged into a computer, or be easily integrated to kinds of devices due to its small form factor. FEATURES⚫Easy to use, requires no knowledges of image recognition⚫Decodes various common 1D/2D codes such as Barcode, QR code etc.⚫Onboard micro USB and UART serial port, allows to connect with computers or embedded devices⚫Configurable via scanning 'configuration code'⚫Onboard light source, works in the darkSPECIFICATIONSOverview (1)Features (1)Specifications (2)Support barcodes (5)Scanning Instructions (6)Scanning Area (6)Scanning Way (7)Setting Instruction (9)Hardware connection (9)Setting Codes (9)Setting code (9)Restore Factory Setting (10)Users Default Setting (11)UART Communication (11)USB Communication (12)Scanning Mode (13)Lighting and Targeting (18)Ouput indication (19)Data Edit (23)Barcode types Enable/Diable (34)Appendix A: Default setting table (45)Appendix B: Common UART command (47)Appendix C: CODE ID List (48)Appendix D: ASCII table (49)Appendix E: Data Code (55)Appendix F: Save and Remove (57)✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓✓SCANNING AREAScanning distance: These values are all be measured indoor (250 lux)The min distance is based on the length of barcode and the scanning angle.If you want to house the scanner with window, please note that:⚫The window should be colorless⚫Check the surface of window carefully, make sure they are clean, smooth and have not scratch.⚫Let the windows cave in the case⚫Paralleling window with scanner without skew. If you locate the window improperly, it will down the scanning performance.⚫The window should close to and be in front of the scanner reader (don’t touch) The distance between window and scanner protect the scanner from drop and crash. SCANNING WAYWhile using the Barcode Scanner Module to scan 1D/2D barcodes, it allows some tilt, skew and pitch. However, you had better to reduce them for better and faster scanning result.TiltYou must keep the barcode inside thearea of white light. If the barcode is inside thescanning area, the tilt angle could be 360°. Toimprove the scanning rate, recommend tokeep vertical scanning.SkewSkew will reduce the width and space of barcode (scanned), it is the threshold dimension of high density barcode. It supports up to 65°. However, you’d better reduce the skew for better and faster scanning.PitchPitch will decrease the height of barcode. 2° to 3° is the best scanning angle, which could avoid the direct reflection of barcode. Barcode Scanner Module supports up to 60° pitch angle. But you should reduce it for better and faster scanning.Setting commands are provided, you could refer to this chapter to configure the Barcode Scanner Module. The factory setting could meet the requirement of common using, users need not more setting.HARDWARE CONNECTIONThis module supports two communicating interfaces. You can connect the USB interface to your device with micro USB cable. Or you can connect the UART interface to your device. If you want to test the UART interface with PC, you require an TTL to USB board like our CP2102 (should be purchased separately).VCC: 5VTx: Transmit Pin (Connect to Rx of MCU/CP2102)Rx: Receive Pin (Connect to Tx of MCU/CP2102)GND: GroundSETTING CODESUsers could scan the setting codes to configure the Barcode Scanner Module SETTING CODEYou can scan these two codes to enable/disable the setting code function. Enable it, you could scan setting codes for configuration. And setting code is invalid if disable.Open/Close:Output content:RESTORE FACTORY SETTINGYou can scan this setting code to restore to factory setting if require.Open Setting Code FunctionClose Setting Code FunctionDon't Output Content ofSetting CodeOutput Content of Setting CodeRestore Factory SettingUSERS DEFAULT SETTINGExcept the factory setting, users can save the setting as user default setting. By scanning the “Save Current Setting as User Default Setting” code, you can save your current setting as default setting. If there was default setting, and this operating will cover the old information.By scann ing the code “Restore User Default Setting” can restore the module to user default setting.UART COMMUNICATIONIf you connect the module to MCU by UART interface. You must set the correct UART communication parameters for success communicating. The default parameters of UART interface of module are that: Baud rate: 9600bps, Data bit: 8, Stop bit: 1 Scanning this setting code, it switches the communication interface to UART.Save Current Setting as UserDefault Setting Restore User Default SettingUART Output1.Baud rate Setting1200bps4800bps*9600bps14400bps19600bps38400bps57600bps115200bps USB COMMUNICATIONB PC KeyboardWhen you connect the module with USB cable, you can set the module to keyboard input mode by scanning this code.USB PC KeyboardB Virtual PortYou can set the module to USB virtual port mode by scanning this code.USB Virtual PortSCANNING MODE1.Manual ModeManual Mode is default scanning mode. In this mode, user should press thebutton to begin scanning, and it will finish after scanned or button release.Manual ModeSleepIn manual mode, module could enter sleep mode if it being idle for some time. You can scan this code to configure this function.Enable Sleep Function Disable Sleep FunctionDefault setting is that the module will enter Sleep mode after 30s if module keeps idle. And you can wake it up by press button, module will restart after waking.2.Continuous ModeIn Continuous Mode, the module will keep scanning automatically. After it success scanning and output result, or reach the timeout of scanning time, the module will begin its next scan after a certain time (configurable). User should single press the button to stop the scanning and single press again to continue.Continuous ModeSingle Scanning TimeIn Continuous Mode, this parameter set the max scanning time for per scanning behavior. If the module success scanning or the its scanning time reach the max1000ms3000ms*5000ms infinitesingle scanning time, module will enter the non-scanning interval. The range of single scanning time should be 0.1~25.5s. If it is set to 0, it means that scanning time is infinite. It is 5.0s by default.It is the interval between two scanning behaviors. That is module will scan nothing after last scanning for this interval time before and begin its next scanning. The setting range of this interval is 0~25.5s. It is 1.0s by default.No Interval500ms*1000ms1500ms2000ms3.Sensing ModeIn Sensing Mode, the module will detect the ambient brightness, if the brightness change, module will begin scanning after an image stabilization time (configurable). After one scanning (success or timeout), module will enter detecting state again after a certain time (configurable). Module will keep this cycle unless: If timeout, module will stop scanning and in detecting state automatically. In Sensing Mode, user could also press the button to scan and module will back to detecting after success scanning or button release.Sensing ModeIn Sensing Mode, this parameter set the max scanning time for per scanning behavior. If the module success scanning or the its scanning time reach the max single scanning time, module will enter the non-scanning interval. The range of single scanning time should be 0.1~25.5s. If it is set to 0, it means that scanning time is infinite. It is 5.0s by default1000ms3000ms*5000ms Infinite Non-Scanning IntervalIt is the interval between scanning and detecting. That is module will scan nothing after last scanning for this interval time before and begin its next detecting. The setting range of this interval is 0~25.5s. It is 1.0s by default.No Interval500ms*1000ms1500ms2000msImage stabilization timeIt is the time for module to stabilizing image if brightness changed is detected in sensing mode. The range is 0~25.5s, and 0.4s by default.100ms*400ms1000ms2000msmand ModeIn command mode, scanner will begin scanning after receive the command from MCU. (That is set bit0 to 1 on address 0x0002).Command ModeNote: The scanning command (UART) is that:7E 00 08 01 00 02 01 AB CD. The scanner module will response bytes (02 00 00 01 00 33 31) for the command and begin scanning at the same time.Single Scanning TimeIn command mode, this parameter set the max scanning time for per scanningbehavior. If the module success scanning or the its scanning time reach the max single scanning time, module will enter the non-scanning interval. The range of single scanning time should be 0.1~25.5s. If it is set to 0, it means that scanning time is infinite. It is 5.0s by default.LIGHTING AND TARGETING1. LightingThe white LED provides light for scanning, to improve the scanning performance and supports weak light environments. It has three states for choice:1000ms 3000ms*5000ms infiniteStandard (default): The LED only turn on when scanning, or it keeps off.Keep lighting: The LED will keep lighting after powering on scanner module No light: The LED is turned off all the time.*Standard Keep lighting No light2.TargetingThe targeting light beam could help user to get the best scanning distance and angle. User can set it:Standard (default): The LED only turn on when scanning, or it keeps off.Keep lighting: The LED will keep lighting after powering on scanner module No targeting: The LED is turned off all the time*Standard keep lighting No targetingOUPUT INDICATION1.All warning tonesYou can set the buzzer to active/passive buzzer, as well as setting the drivingfrequency of passive buzzer.Note:LF: Low frequency;MF: Midium frequency;HF: High frequencyIn active driving mode, user can set the working level of buzzer.User can turn off all the warning tones by use the code “Enable silence”.Driving frequency-Passive LF *Driving frequency-Passive MFDriving frequency-Passive HF Driving frequency-Active*Working level-High Working level-LowEnable silence *Disable Silence2. Scanned toneWith these code, you can set the buzzer behavior when succeed in scanning barcode.The time of the warning tone can also be set, it is default 60ms.3. Decoding promptYou can enable this function for MCU to know whether succeed in decoding. After enable this function, module will send prompt character “F” if it failed decoding and “S” if success.*Open Scanned toneClose Scanned tone*Tone time-60msTone time-30ms Tone time-90msTone time-120ms*Don't output decodingpromptOutput decodingprompt4.Keyboard settingTo compatible with different keyboard layout of different countries. There are keyboard setting code for choosing.*Keyboard-US Keyboard-Czech Keyboard-French Keyboard-German Keyboard-Hungarian Keyboard-ItalianKeyboard-Japanese Keyboard-Spanish5.Image flipSometimes we may need to flip the barcode as belowIf your barcode is flipped, you can use the flip mode.Enter flipped mode*Normal mode6.Read version informationYou can read the version information of module with this setting code.Read version informationDATA EDITSometimes we need to edit the scanned data first before output for easily handling.Data edit includes:⚫Add Prefix⚫Add Suffix⚫Decoding Data intercepting⚫Output CodeID of barcode⚫RF information for failed decoding⚫Add terminator TailThe format of Data is as below after edit:【Prefix】【CodeID】【Data】【Suffix】【Tail】1.PrefixAdd prefixProfix is a definable string added in front of decoding data, user can edit it by scanning the related setting codeAllow prefix adding Without prefix Modify prefixY ou can scan “Modify pr e fix” code and data setting code to modify the pr efix.Every character has two HEX and every prefix should less than 16 characters. The Hex of character refer to Appendix DModify prefixExample: Modify the prefix as “DATA”1)Q uery the character table to get the HEX of “DATA” are that : “44”,“41”,”54”, “41”2)Make sure Setting code is enabled, if no, please scanning the setting code“Open Setting Cod e Function”3)S canning “Modify pr e fix”code4)Scanning the data setting code one by one: “4”, “4”, “4”, “1”,“5”, “4”, “4”, “1”5)S canning “Save” Setting code2.SuffixAdd suffixSuffix is definable string added after decoding information.Allow Suffix Adding*Without Suffix Modify SuffixThe suffix can be modified as same as the Prefix.Modify SuffixExample: Modify the Suffix as “DATA”1)Q uery the character table to get the HEX of “DATA” are that : “44”,“41”,”54”, “41”2)Make sure Setting code is enabled, if no, please scanning the setting code“Open Setting Cod e Function”3)S canning “Modify prefix”code4)Scanning the data setting code one by one: “4”, “4”, “4”, “1”,“5”, “4”, “4”, “1”5)S canning “Save” Setting code3.CODE IDAdd CODE IDUser can use CODE ID to identify different type of barcode. CODE ID is chagnable.Every CODE ID has one character.Allow Adding CODE ID*Without CODE IDDefault CODE IDUsing “Default CODE ID” code, the module will restore all barcode information to default code id. The default setting should refer to Appendix CDefault CODE IDChange CODE IDUser can change the Code ID as they want with related setting codes. Every code id has one character (one HEX value). More details about characters, please refer to Appendix DE xample: change the CODE ID of CODE 128 to “A”1)Query the HEX value of “A” is “41”2)Make sure Setting code is enabled, if no, please scanning the setting code“Open Setting Cod e Function”3)S canning setting code “Modify CODE ID of CODE 128”4)S canning data setting codes “4”, ”1”5)S canning setting code “Save”Change CODE ID ListModify CODE ID of EAN 13Modify CODE ID of EAN8Modify CODE ID of UPCA Modify CODE ID of UPCE0 Modify CODE ID of UPCE1Modify CODE ID of CODE 128 Modify CODE ID of CODE 39Modify CODE ID of CODE 93Modify CODE ID of CODE BAR Modify CODE ID of Interleaved2 of 5Modify CODE ID of Industrial 25Modify CODE ID of Matrix 2 of 5Modify CODE ID of CODE 11Modify CODE ID of MSIModify CODE ID of RSS Modify CODE ID ofLimited RSSModify CODE ID of Expanded RSS Modify CODE ID of QR CODEModify CODE ID of Data Matrix Modify CODE ID of Limited PDF4174. TerminatorScanner will output a terminator after succeed in decoding.5. Data segment interceptThe decoding data 【Data 】could be divided to three parts:【Start 】【Center 】【End 】The length of Start and End segments can be set:Close Tail*Add Tail CRAdd Tail TAB Add Tail CRLF*Send whole Data Only Send Start SegmentOnly Send End SegmentOnly Send CenterSegmentChange the Length M of StartWith data setting code and this one, you can change the length of Start segment.The length of Start is max 255 characters.The Length M is represented by a HEX. More details about HEX refer to Appendix DModify the Length MChange the Length N of EndWith data setting code and this one, you can change the length of End segment.The length of Start is max 255 characters.The Length N is represented by a HEX. More details about HEX refer to Appendix DModify the Length NExamples:⚫D ecoding Data: “1234567890123ABC”, output the first thirteen bytes: “1234567890123”(Only Start)1) Query the characters table to get the HEX value of “13”(DEC) is “0D”2) Make sure the setting code function is enable, if not, open it.3) Scanning “Modify the Length M”4) scanning setting co de: “0”, “D”5) Scanning “Save”6) Scanning “Only Send Start Segment”⚫D ecoding Data: “1234567890123ABC”, output the last three bytes: “ABC”(Only End)1) Query characters table, get the HEX value of “3” (DEC) is “03”2) Make sure the setting code function is enable, if not, open it.3) S canning “Modify the length N”4) Scanning setting code: “0”. “3”5) Scanning “Save”6) Scanning “Only Send End Segment”⚫D ecoding Data: “1234567890123ABC”, output the center four bytes “0123”(Only Center)1) Query characters table, get the HEX value of “10” (DEC) and “3” are “0A”and “03” separately2) Make sure the setting code function is enable, if not, open it.3) Scanning “Modify the Length N”4) Scanning setting code: “0”. “3”5) Scanning “Save”7) Scanning setting code “0”, “A”5) Scanning “Save”6) Scanning “Only Send Center Segment”6.RF InformationRF (Read Fail) information is the that used for indicating failed scanning in some modes.Send RF Information*Without RF Information Modify InformationThe RF information can be modified by users. The max length of RF is 15 and every character represented by two HEX values. (Appendix D)Modify RF InformationE xample: Modify the RF information as “FAIL”1)Query characters table to get the HEX values of “FAIL” are “46”, “41”,“49”, “4C”2)Make sure setting code function is enabled, if not, please enable it.4)S canning data setting code: “4”, “6”, “4”, “1”, “4”, “9”, “4”,“C”5)S canning “Save”BARCODE TYPES ENABLE/DIABLE1.All Types EnableWith these setting code, you can enable/disable all types of barcode. If you disable all types of barcode, only the setting code is scannable.Enable All Types Disable All Types*Enable Default Types2.Barcode RotatingYou can enable the function that supports 360° rotation. Disable this function can improve decoding speed.*Enable 360°Rotation Disable 360°Rotation 3.EAN13Enable/Disable ENA13 barcode*Enable Scanning EAN13 Disable Scanning EAN13 4.EAN8Enable/Disable EAN9 barcode*Enable Scanning EAN8Disable Scanning EAN8 5.UPCAEnable/Disable UPCA barcode*Enable Scanning UPCA Disable Scanning UPCA6. UPCE0Enable/Disable UPCE0 barcode7. UPCE1Enable/Disable UPCE1 barcode8. Code128Enable/Disable Code128 barcodeSetting the Min Scannable Length of Code128:*Enable Scanning UPCE0Disable Scanning UPCE0*Enable Scanning UPCE1Disable Scanning UPCE1*Enable Scanning Code128Disable Scanning Code128Code128 Min Length 0 *Code128 Min Length 4Setting the Max scannable length of Code128:*Code128 Max Length 32Code128 Max Length 255 9.Code 39Enable/Disable Code39*Enable Scanning Code39Disable Scanning Code39 Setting the Min scannable length of Code39:Code39 Min Length 0*Code39 Min Length 4 Setting the Max Scannable length of Code39:*Code39 Max Length 32Code39 Max Length 255 10.Code93Enable/Disable Code93 barcode*Enable Scanning Code93Disable Scanning Code93 Setting the Min scannable length of Code93:Code93 Min Length 0*Code93 Min Length 4 Setting the Max scannable length of Code93:*Code93 Max Length 32Code93 Max Length 255 11.Code BarEnable/Disable Code Bar barcode*Enable Scanning Code Bar Disable Scanning Code BarSetting Start/Stop characters of Code Bar:Setting the min scannable length of Bar CodeSetting the max scannable length of Bar Code12. QREnable/Disable QR code13. Interleaved 2 of 5Enable/Disable Interleaved 2 of 5 codeSend Code Bar Start/StopCharacter*Without Code Bar Start/StopCharacterCode Bar Min Length 0*Code Bar Min Length 4*Code Bar Max Length 32 Code Bar Max Length 255*Enable Scanning QRDisable Scanning QREnable Scanning Interleaved 2 of 5*Disable Scanning Interleaved 2 of 5 Setting the min scannable length of Interleaved 2 of 5 code:Interleaved 2 of 5 Min Lenght 0* Interleaved 2 of 5 Max Length 4 Setting the max scannable length of interleaved 2 of 5 code:* Interleaved 2 of 5 Min Length 32Interleaved 2 of 5 Max Length 255 14.Industrial 25Enable/Disable Industrial 25 codeEnable Scanning Industrial 25*Disable Scanning Industrial 25 Setting the min scannable length of Industrial 25Industrial 25 Min Lenght 0* Industrial 25 max Length 4 Setting the max scannable length of Industrial 25:* Industrial 25 Max Lenght 32Industrial 25 Max Length 255 15.Matrix 2 of 5Enable/Disable scanning Matrix 2 of 5 code:Enable Scanning Matrix 2 of 5*Disable Scanning Matrix 2 of 5 Setting the min scannable length of Matrix 2 of 5Matrix 2 of 5 Min Length 0* Matrix 2 of 5 Min Length 4Setting the max scannable length of Matrix 2 of 5* Matrix 2 of 5 Max Length 32Matrix 2 of 5 Max Length 255 16.Code11Enable/Disable Scanning Code11Enable Scanning Code11*Disable Scanning Code11 Setting the min scannable length of Code11:Code11 Min Length 0*Code11 Min Length 4 Setting the max scannable length of Code11:*Code11 Max Length 32Code11 Max Length 25517. MSIEnable/Disable scanning MSI codeSetting the min scannable length of MSI:Setting the max scannable length of MSI:18. RSSEnable/Disable scanning RSS-14Enable Scanning MSI*Disable Scannig MSIMSI Min Length 0*MSI Min Length 4* MSI Max Length 32 MSI Max Length 255Enable Scanning RSS-14*Disable Scanning RSS-14Enable/Disable scanning Limited RSSEnable Scanning Limited RSS*Disable Scanning Limited RSS Enable/Disable scanning Expanded RSSEnable Scanning Expanded RSS*Disable Scanning Expanded RSS Setting the min scannable length of RSSRSS Min Length 0*RSS Min Length 4 Setting the max scannable length of RSS* RSS Max Length 32RSS Max Length 255 19.DMEnable/Disable Scanning DM code20.PDF417Enable/Disable scanning PDF417 code:APPENDIX A: DEFAULT SETTING TABLEParameters Default Setting Note Communicating InterfaceUARTBaud rate 9600Parity NoneData bit 8Stop bit 1Flow control None*Enable Scanning DM Disable Scanning DM *Enable Scanning PDF417Disable Scanning PDF417APPENDIX B: COMMON UART COMMANDAfter sending the query command of baud rate, the module will response as below:Note: SS SS is checksumAPPENDIX C: CODE ID LISTAPPENDIX D: ASCII TABLE。

实验六--帧同步

实验六--帧同步

实验六 帧同步一、实验目的1.掌握集中插入式帧同步码识别器工作原理。

2.掌握同步保护原理。

3.掌握假同步、漏同步、捕捉态〔失步态〕、维持态〔同步态〕概念。

二、实验原理在时分复用通信系统中,为了正确地传输信息,必须在信息码流中插入一定数量的帧同步码。

帧同步码可以集中插入,也可以分散插入。

本实验系统中帧同步码为7位巴克码,集中插入到每帧的第2至第8个码元位置上。

帧同步模块的原理框图如图6-1所示。

本模块使用+5v 电压。

从总体上看,本模块可分为巴克码识别器及同步保护两部分。

巴克码识别器包括移位寄存器、相加器和判决器,图6-1中的其余部分完成同步保护功能。

移位寄存器由两片74175组成,移位时钟信号是位同步信号。

当7位巴克码全部进入移位寄存器时,U50的4321,,,Q Q Q Q 及U51的432,,Q Q Q 都为1,它们输入到相加器U52的数据输入端D0~D6,U52的输出端Y0、Y1、Y2都为1,表示输入端为7个l 。

假设100012 Y Y Y 时,表示输入端有4个l ,依此类推,012Y Y Y 的不同状态表示了U52输入端为1的个数。

判决器U53有6个输入端。

IN2、IN1、IN0分别与U52的Y2、Y1、Y0相连,L2、L1、L0与判决门限控制电压相连,L2、L1已设置为1,而L0由同步保护部分控制,可能为1也可能为0。

在帧同步模块电路中有三个发光三极管指示灯P1、P2、P3与判决门限控制电压相对应,即从左到右与L2、L1、L0一一对应,灯亮对应1,灯熄对应0。

判决电平测试点TH 就是L0信号,它与最右边的指示灯P3状态相对应。

当L2L1L0=111时门限为7 ,三个灯全亮,TH 为高电平;当L2L1L0=110时门限为6,P1和P2亮,而P3熄,TH 为低电平。

当U52输入端为l 的个数〔即U53的IN2 IN1 IN0〕大于或等于判决门限于L2L1L0,识别器就会输出一个脉冲信号。

第四次作业(7位巴克码)

第四次作业(7位巴克码)

基于7位巴克码,设计一个判决门限为5和6的识别器识别器的功能主要是把巴克码从信息流中识别出来。

这里需要的器件有相加器、移位寄存器主要由D触发器构成,以及判决器。

七位巴克码识别器识别器模块的建模模型------第一部分完成移位功能---USE IEEE.STD_LOGIC_1164.ALL;entity sbq isport(di,clk :in std_logic;q6,q5,q4,q3,q2,q1,q0: out std_logic);end sbq;architecture a of sbq issignal tmp: std_logic_vector(6 downto 0);beginprocess(clk)beginif(clk'event and clk='1')thentmp(6)<=di;for i in 1 to 6 looptmp(6-i)<=tmp(7-i);end loop;end if;end process;q6<=not tmp(6);q5<=tmp(5);q4<=not tmp(4);q3<=not tmp(3);q2<=tmp(2);q1<=tmp(1);q0<=tmp(0);end a;第一部分仿真图:-------第二部分完成七位输出的译码处理-----entity jiafaqi isport(c0,c1,c2,c3,c4,c5,c6:in std_logic;YM: out std_logic_vector(2 downto 0)); end jiafaqi;architecture one of jiafaqi issignal temp:std_logic_vector(2 downto 0);signal temp1:std_logic_vector(6 downto 0);begintemp1<=c0&c1&c2&c3&c4&c5&c6;process(temp1)beginfor i in 1 to 6 looptemp<=temp+temp1(7-i); end loop; end process;YM<=temp;end architecture one;-------第三部分完成根据门限完成判决输出-----LIBRARY ieee;USE IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity panjueqi isport(a:in std_logic_vector(2 downto 0); b:in std_logic_vector(2 downto 0); c:buffer std_logic); end panjueqi;architecture guo of panjueqi is beginc<='1' when a>=b else '0'; end guo;顶层电路模型图:j 加法器判决器串/并转换器仿真图:。

巴克码的详解之欧阳道创编

巴克码的详解之欧阳道创编

2.巴克码识别器时间:2021.03.06 创作:欧阳道是比较容易实现的,这里以七位巴克码为例,用7级移位寄存器、相加器和判决器就可以组成一识别器,具体结构如图716所示。

7级移位寄存器的1、0端输出按照1110010的顺序连接到相加器输入,接法与巴克码的规律一致。

当输入数据的“1”存入移位寄存器时,“1”端的输出电平为+1,而“0”端的输出电平为1;反之,存入数据“0”时,“0”端的输出电平为+1,“1”端的电平为1。

当发送端送来的码元自右向左进入时,首先考虑一个简单的情况:假设只计算巴克码(1110010)进入的几个移位寄存器的输出,此时将有巴克码进入一位,二位……七位全部进入,第一位移出尚留六位……前六位移出只留一位等13种情况。

经过计算可得相加器的输出就是自相关函数,设码元进入移位寄存器数目为a,码元尚留在移位寄存器的数目是b,这是就可以得到a、b和j之间的关系式图7167位巴克码识别器(738)根据上述关系可以得到表72,它反映了相加器输出与a、b之间的关系。

a a=b b巴克码进入(或留下)位数1 2 3 4 5 6 7 6 5 4 3 2 1相加器输出 1 0 1 0 1 0 7 0 1 0 1 0 1实际上述群同步码的前后都是有信息码的,具体情况如图717(a)所示,在这种情况下巴克码识别器的输出波形如图717(b)所示。

图717 识别器输入和输出波形当七位巴克码在图717中的t1时刻,正好已全部进入了7级移位寄存器,这时7个移位寄存器输出端都输出+1,相加后得最大输出+7,如图717(b)所示,而判决器输出的两个脉冲之间的数据,称为一群数据或称为一帧数据。

当然,对于信息而言,由于其具有的随机特性,可以考察一种最不利的情况:即当巴克码只有部分码在移位寄存器时,信息码占有的其它移位寄存器的输出全部是+1,在这样一种对于群同步最不利的情况下,相加器的输出将如表73所示。

由此可得到相加器的输出波形如图718所示。

重庆科创职业学院通信原理试题

重庆科创职业学院通信原理试题

通信原理综合复习资料一、判断题1.()通信就是信息的传递与交换。

2.()半双工通信系统能够双向同时传输。

3.()在某四进制信源中,四种符号独立等概出现,则每个符号的信息量为2bit。

4.()光纤属于恒参信道。

5.()时变时延是恒参信道的主要特征之一。

6.()通信与传感、计算机技术紧密结合,成为整个社会的高级“神经中枢”。

7.()双工通信系统不能够双向同时传输。

8.()在某二进制信源中,两种符号独立等概出现,则每个符号的信息量为1bit。

9.()卫星中继信道属于恒参信道。

10.()时变衰耗是恒参信道的主要特征之一。

11.()通信的目的在于传递消息。

12.()单工通信系统能够双向同时传输。

13.()在某八进制信源中,八种符号独立等概出现,则每个符号的信息量为3bit。

14.()短波电离层反射信道属于恒参信道。

15.()多径传播是恒参信道的主要特征之一。

16.()分集接收技术是常用改善随参信道特性的有效手段之一。

17.()即使增大通信信道中有用信号的功率,也不能使信道容量增大。

18.()单极性不归零波形不含有直流分量。

19.()AMI码解决了“长连零”问题。

20.()在基带传输系统中,通过眼图估计系统性能,示波器应该接在接收滤波器的输出端。

21.()一个通信系统,如果没有信号输入,也有信号输出。

22.()无限增大通信信道的带宽,不能使信道容量无限增大。

23.()单极性不归零波形比单极性归零波形更易于提取位定时信息。

24.()HDB3码解决了AMI码的“长连零”问题。

25.()通信过程中,噪声是不可避免的。

26.()在基带传输系统中,可以通过眼图观察到码间干扰和噪声的影响。

27.()在眼图中,眼睛闭合得越小,信号效果越差。

28.()二进制数字调制系统中,在基带信号码元速率相等的情况下,相位不连续的2FSK 信号有效性最差。

29.()非均匀量化的主要目的是增大小信号的量化信噪比。

30.()在眼图中,定时误差的灵敏度由眼图斜边的斜率决定。

通信原理_国防科技大学中国大学mooc课后章节答案期末考试题库2023年

通信原理_国防科技大学中国大学mooc课后章节答案期末考试题库2023年

通信原理_国防科技大学中国大学mooc课后章节答案期末考试题库2023年1.若在带宽为4kHz的信道上采用8进制传送6000bit/s的信号,则其频带利用率为。

答案:1.5 bit/s/Hz2.选择基带传输系统的码型时,以下准则中哪一个与数字基带信号的码型设计不相关:。

答案:便于提取载波同步信息。

3.若二进制数字信息速率为6000bps,则基带采用矩形脉冲的8PSK、4ASK信号功率谱密度主瓣宽度分别为: 。

答案:4kHz,6kHz4.已知信息传输速率为64kbit/s,若采用滚降系数为的升余弦滚降数字基带传输系统,则采用二进制传输时的频带利用率为。

答案:4/3 bps/Hz5.对正弦信号进行均匀量化,量化位数由8位增加到12位,量噪比提高。

答案:24dB6.PCM四次群的接口码型为。

答案:CMI码7.数字通信系统的位同步方法主要有。

答案:外同步法和自同步法8.在连续可变斜率的增量调制(CVSD)语音编码系统中,通过哪种方法实现量阶的自适应改变。

答案:连码检测,音节平滑9.下面关于Costas环与平方环的描述,哪些是准确的?。

答案:Costas环可以直接获得解调输出,而平方环没有这种功能。

Costas环的工作频率即为载波频率,相较于平方环的工作频率低,易于实现。

10.下列关于MSK延时判决相干解调法的描述,正确的是。

答案:延时判决相干解调法利用了前后两个码元的信息对于前一个码元做出判决。

延时判决相干解调法需要在两个符号周期内积分。

11.下面关于第I部分响应系统的描述正确的是。

答案:相对于采用相同带宽的理想低通传输特性的系统,该系统传输特性的尾部衰减更快。

频带利用率可以达到2波特/Hz。

通过加入预编码可以避免差错传播现象。

12.数字基带信号传输系统中,发送滤波器能够起到的作用是。

答案:频谱成型滤除带外噪声对输入基带信号进行变换13.下列关于码间串扰的相关说法,正确的是。

答案:码间串扰是前面码元波形的拖尾蔓延到当前码元的抽样时刻上,从而对当前码元的判决造成干扰。

帧同步电路的 VerilogHDL 设计

帧同步电路的 VerilogHDL 设计

帧同步电路的VerilogHDL 设计Design of A Frame Synchronization Circuit with VerilogHDL(解放军镇江船艇学院)张 伟 李霞明ZHANG Wei LI Xiaming摘要:帧同步技术是通信系统中的关键技术。

文中采用自顶向下的方法,以Verilog 语言描述了可辨别2PSK 相位模糊的巴克码识别器,给出了时序仿真波形,并探讨了完整帧同步电路的实现方法。

关键词:帧同步、巴克码、verilogHDL 、软核 中图分类号:TN927 文献标识码:AAbstract : Frame synchronization technique plays an important part in the communication system. We propose a verilog discription of a frame synchronization circuit which can identify the phase blur of the 2PSK receiver. The simulation wave is given and the implementation metheod of a complete frame synchroniazation circuit is discussed.Key word : frame synchronization, baker code, verilogHDL, soft core0 引言数字通信系统中的信息码流总是把码元组成一个个码组来传送,因此接收信息时必须知道这些码组的起止时刻。

帧同步的任务就是在接收端产生与这些码组起止时刻相一致的定时脉冲序列【1】。

巴克码是连贯式插入法【1】实现帧同步时常用的码组。

VerilogHDL 与VHDL 都是IEEE 标准的硬件描述语言,两者各有千秋,都能实现自顶向下的设计方法,单就语法的简洁和灵活程度来说,Verilog 更胜一筹。

通信原理第11章同步原理

通信原理第11章同步原理

第11章 同步原理终
下面以 DSB 为例来说明插入导频法实现载波同步的基 本方法。图 11.2. 5 ( a )是基带信号的频谱,(b )是其 DSB 信号 的频谱及插入导频的位置(虚线所示)。导频插在 DSB 信号 频谱为 0 的地方,即导频的频率为 f c ,且与调制用的载波信号 正交。插入导频法发送端及接收端的方框图如图 11.2. 6 所 示。
第11章 同步原理终 有时,位定时误差也用相位来表示,称为相位误差,即
当位定时有偏差时,会使信号的取样值下降,而取样值的 下降最终导致数字通信系统误码率的上升。
第11章 同步原理终 以 2PSK 信号为例,当位定时无偏差时,最佳接收机的误码率 为
而当位定时偏差为 t e 时,经推导误码率为
第11章 同步原理终
第11章 同步原理终
图 11.3. 2 位同步信号相位调整过程示意图(图中设 n =4
第11章 同步原理终
如果鉴相器的比较结果是 n 次分频器输出信号(即位同 步信号)相位超前于接收码元相位,如图 11.3. 3 ( a )所示,鉴相 器就向控制电路输出误差信号,使控制电路从其接收到的脉 冲序列中扣除一个脉冲,这样分频器输出的脉冲序列就比原 来正常情况下的脉冲序列滞后一个 T s / n 时间,如图 11.3. 2 ( c )所示。到下一次鉴相器进行比相时,若分频器输出脉冲序 列的相位仍超前,鉴相器再输出一个代表超前的误差信号给 控制电路,使控制电路再扣除一个脉冲,直到分频器输出脉冲 序列的相位不超前为止。如果鉴相器的比较结果是 n 次分频 器的输出脉冲序列相位滞后于接收码元相位,如图 11.3. 3 ( b ) 所示。
第11章 同步原理终
需要说明,在图 11.3. 1 所示的数字锁相环中,相位比较器 是一个关键部件。没有相位比较器的比较结果,控制电路既 不会扣除脉冲也不会附加脉冲,也就意味着无法调整位同步 脉冲的相位。而相位比较器是根据接收基带信号的过零点和 位同步脉冲的位置来确定误差信号的。当发送长连“0 ”或 长连“ 1 ”信号时,接收基带信号在很长时间内无过零点,相位 比较器无法进行比较,致使位定时脉冲在长时间内得不到调 整而发生漂移甚至失步。此即采用 HDB3 来代替 AMI 码的 原因。

采用两组巴克码识别器的帧同步方法

采用两组巴克码识别器的帧同步方法

Ab t a t Trd t n l rmes n h o o smeh d h st e po lmso c i a e yr b i ig s n h o s r c : a ii a a y c r n u t o a h r be fmu h t o f metk n b e ul n y c r — d
r aie swe 1 e l d a l z .
K e r :rmes n h o iain ie tf rwi a k rc d ;in l r cs ig y wo ds fa y c rnz t ;d nii t B r e o e sg a o e sn 0 e h p
而在 步 维 持 阶段 , 使用 7 巴克 码 识 别 器 , 效 地 减 小 了漏 同 步 概 率 。仿 真 结 果 表 明 : 方 法 具 有 漏 同 步 、 位 有 该 假 同 步 概 率低 , 建 同 步 时 问 短 等 优 点 , 且 简 单 易 行 。 重 而
关键词 : 帧同步; 巴克பைடு நூலகம்识别器; 处理 信号
0 引 言
在 雷达通 信 系统 中 , 了使 雷达 信 号能 够有 效 、 为
可靠地 工作 , 必 须 进 行 同 步处 理 。同 步根 据 作 用 就 可分为 : 载波 同步 、 同步 、 同步 、 位 帧 网同步 _ 。帧 同 1 ] 步是 同步系统 中最 重 要 的部 分 , 指接 收端 从 接 收 是
( d s i e t irwa s d a y c r n u i t n n e s a e Th e k s n h o o sy r t n h a s y c r — ; e d n i e s u e ts n h o o sman e a c tg . el a y c r n u l a e a d t ef l es n h o o f n u l a e we e e f c ie y r d c d Th i lt n r s l s o d t a h t o a d a t g s o o la o s y r t r fe t l e u e . e smu a i e u t h we h tt e me h d h d a v n a e fl w e k v o

通信实验5帧同步实验

通信实验5帧同步实验

福建工程学院国脉信息学院Fujian University Of Technology Guomai Information College 学生课程实验报告书专业班级:电子信息工程学号: 0930010357 姓名:张兴旺20 ——20 学年第学期实验项目: 实验时间:实验目的:实验仪器:实验原理:巴克码识别器是比较容易实现的,这里也以七位巴克码为例,用7级移位寄存器、相加器和判决器就可以组成一识别器,如图4-2所示。

当输入数据的“1”存入移位寄存器时,“1”端的输出电平为+1,而“0”端的输出电平为-1;反之,存入数据“0”时,“0”端的输出电平为+1,“1”端的输出电平为-1。

各移位寄存器输出端的接法和巴克码的规律一致,这样识别器实际上就是对输入的巴克码进行相关运算。

当七位巴克码在图4-3(a )中的t 1时刻正好已全部进入了7级移位寄存器时,7级移位寄存器输出端都输出+1,相加后得最大输出+7;若判别器的判决门限电平定为+6,那么就在七位巴克码的最后一位“0”进入识别器时,识别器输出一群同步脉冲表示一群的开头,如图4-3(b )所示。

图4-1 七位巴克码的自相关函数 图4-2 七位巴克码识别器图4-3 识别器的输出波形帧同步系统要求建立时间很短,并且在帧同步建立后应有较强的抗干扰能力。

通常用漏同步概率P 1、假同步概率P 2来衡量这些性能。

这里,主要是分析集中插入法的性能。

①漏同步概率P 1由于干扰的影响会引起同步码组中的一些码元发生错误,从而使识别器漏识别已发出的同步码组。

出现这种情况的概率就称为漏同步概率P 1。

例如图4-2识别器的判决门限电平为+6,若由于干扰,七位巴克码有一位错误,这时相加输出为+5,小于判决门限,识别器漏识别了帧同步码组;若在这种情况下,将判决门限电平降为+4,-mrn r r识别器就不会漏识别,这时判决器容许七位同步码组中有一个错误码元。

现在就来计算漏同步概率: 设p 为码元错误概率,n 为同步码组的码元数,m 为判决器容许码组中的错误码元最大数,则同步码组码元n 中所有不超过m 个错误码元的码组都能被识别器识别,因而,未漏概率为∑=--mr rn r r np p C)1(故得漏同步概率为∑=---=mr rn r rn p p C P 01)1(1 (4-3)②假同步概率P 2在消息码元中,也可能出现与所要识别的同步码组相同的码组,这时会被识别器误认为是同步码组而实现假同步,出现这种情况的可能性就称为假同步概率P 2。

巴克码识别器的设计与FPGA的实现(1)

巴克码识别器的设计与FPGA的实现(1)
图四 7位巴克码识别器仿真波形 其中“CLRN”是系统复位端口,低电平复位有效;“CLK” 为数据时钟输入端口;“QE”为模拟的周期为 31 位长的 7 位 巴克码输入端口;“OUT”为 7 位巴克码识别器输出端口。仿 真结果表明:当出现 7 位巴克码组1110010 时,7位巴克码识
别器就输出一个同步脉冲,两个同步脉冲(相关峰)之间刚 好相距31个时钟周期,端口输出数据完全正确。然后将综合 后生成的网表文件通过ByteBlaste下载电缆,以在线配置的 方式下载到 EPF10K10LC84-4器件中,从而完成了器件的编 程。上电后,在输入端加入待编码信息,用数字存储示波器 测试巴克码识别器的输出,实测结果完全正确,达到了设计 要求。 5 结束语
本文探讨用FPGA(现场可编程门阵列)设计巴克码识别 器。 1 巴克码
巴克码是一种有限长的非周期序列。其定义如下:一个 n 位长的码组{x1,x2,x3,…,xn},其中xi的取值为 +1或-1, 若它的局部相关函数R(j)满足下式:
★基金项目:陕西省教育厅科研基金项目(01JK121)
110
FPGA
科技广场 2006.4
巴克码识别器的设计与 FPGA 的实现
Design of Identifier with Barker Code and Implement with FPGA
龙光利 Long Guangli (陕西理工学院电信工程系,汉中 723003) (Dept.of E.I.of Shanxi University of Tecnology, Hanzhong
circuit design a producer of seven-Barker code too,its period is thirty-one.After editing,compiling and

基于FPGA的连贯式插入巴克码帧同步的实现

基于FPGA的连贯式插入巴克码帧同步的实现

二 、连贯式插入帧 同步法 的原理
连贯式插入帧同步法的作用是识别帧同步码,其工作状
态 有搜索 态 、校 核态及 同步态 。状态插入 ,也 可 以分散 插入 ,二 者工作 原理
相 似 。本文研 究 的是 连贯式 插入 巴克码 的群 同步 法 。 连贯 式 插 入 法 就是 在 每 一 帧 的 开 头集 中插 入 群 同步 码
本文采用E D A 软件MA X + p l u s Ⅱ 平 台,使用VH D L 语言
编程 设 计 出 1 3 位 巴克 码 的发 生 器 和 识别 器 ,并 将 其 下 载 到
Al t e r a 公 司芯片上 得 以实 现 。




群 同步及 巴克码
群 同步亦称为帧同步 ] 。帧是数据传输及识别的基本单
如下:
R ( 0 ) = 1 3,


要 求 ,选择 的插 人码 组必 须具 有尖 锐 的 自相关 函数 以便 接 收 端 得 以正 确识 别 ,从 而减 少 伪 同步 的概率 Ⅲ。巴克 码正 是连
贯 式插 入法实 现群 同步 的常 用码组 之一 。
R ( 1 ) =R ( 3 ) =n ( 5 ) = ( 7 ) =R( 9 ) :R ( 1 1 ) :R ( 1 3 ) =0 ( 2 ) = ( 4 ) =R( 6 ) =R ( 8 ) :R 00 ) =R ( 1 2 ) :一 1 可 以画 出 1 3 位 巴克码 的 自相关 函数 图为 图1 所示 。
田竹 梅
, ● ●● ● ●


目前 已找 到 的巴克码组 如表 1 所示 。
引言
在数字信号传输过程中,群同步码组是发送端在信息码
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

任务书
一、课程设计的目的
1.熟悉SystemView 仿真环境并能在其环境下了解并掌握通信系统的一般设
计方法,具备初步的独立设计能力;
2.提高综合运用所学理论知识独立分析和解决问题的能力。

二、课程设计的原理
使用一个8位移位寄存器的前7位和3个非门构成检测电路,加法器的输
出经过判决器检测出大于6的单峰脉冲。

数据流简单地用了一个100Hz 的PN 序
列代替,时钟信号的频率与PN 数据流的速率一样也为100Hz 。

SystemView 是美国Elanix 公司推出的基于个人计算机WindoWS 环境的动
态通信系统仿真工具,它可用于DSP 信号处理、滤波器设计以及复杂的通信系统
数学模型的建立和仿真分析.它的用户界面友好,分析窗口功能强大,用户只需
用鼠标点击图符库中的相应图符就能完成各种系统的搭建、设计和仿真分析。

(1)巴克码
巴克码是一种具有特殊规律的二进制码字,是一种非周期序列,它的特殊
规律是:若一个n 位的巴克码,每个码元只可能取值+1或-1,它的局部自相关
函数为
)
(0)0(1)0)(1n j n j j n x x j R j
i j n i i >=<<±===+-=∑( (1) 从式(1)中可以看到巴克码计算的局部自相关函数R (j )具有尖锐单峰特性,
从后面的分析同样可以看出,它的识别器结构非常简单。

目前只搜索到10组巴
克码,其码组最大长度为13,全部列在下表中。

表中+表示+1,–表示–1。

表2.1巴克码对应表
巴克码识别器的电路框图如图1所示,它由7级移位寄存器、相加电路和判决
电路等组成[9]。

移位寄存器输出联线与巴克码组l 1 l一1—1 1—1相对应,可从“1”端输出,也可从⋯0端输出.它实现对输入的巴克码的相关运算.
当输入的码字1存人移位寄存器时,“1”端的输出为高电平,设其为+1,而“0”端的输出为低电平,设其为0(或一1);反之,当输入的码字一1存人移位寄存器时,“0”端的输出为高电平+1,⋯1端的输出为低电平0(或一1).由于各移位寄存器输出端的接法和巴克码的规律一致,这样,如果输人移位寄存器的数字有一位或多位与对应的巴克码位不同,则该位就输出低电平0(或一1),所以将移位寄存器的各位相加时其和就一定会小于7I而只有输入为巴克码时,7级移位寄存器的输出端都为+1,相加器才可能输出7.若将判决器的判决电平设置为6.5,那么就只有与巴克码组完全相同的序列输入时,判决器才有输出,从而可以识别出巴克码组.
三、各具体模块实现及调试过程
(1)巴克码发生器
SystemView在通信图符库中给出了一个PN码发生器的图符。

只需在该图符的输入端加入一定频率的时钟信号,给出相应的移位寄存器长度、反馈系数(或抽头)和起始种子(Seed)便可得到所需的PN序列。

下面具体介绍伪随机(PN)序列生成原理。

伪随机(PN)序列:可预先确定的,且可以重复地生产和复制的又具有某种随机序列的随机特性(即统计特性)的确定的序列。

伪随机序列系列具有良好的随机性和自相关性,并且有预先的可确定性和可重复性。

最常见的二进制PN 序列是最大长度线性移位寄存器序列,简称x 序列[3],它是由一个线性反馈的m 级移位寄存器生成的。

所谓线性反馈,是指反馈函数中仅包含模2 加运算而不含非线性运算。

m 级移位寄存器共有2m 个状态,除去全0状态外有2m-1 种状态,因此它能产生的最大长度的码序列为2m-1位。

这样生成的x 序列是一个以H=2m-1为周期的循环序列。

(2)检测模块原理
在数字通信中,一般使用伪随机序列信号作为训练序列,用来模拟带有巴克码的数据流。

伪随机序列信号:产生一个按设定速率,由不同电平幅度脉冲组成的伪随机序列(PN)信号。

伪随机序列信号源参数设置:频率一般定义为100Hz.
(3)调试过程
A.起始和终止时间决定了系统运行的时间,终止时间值要大于起始时间值;
B.采样点数即系统仿真过程中采样总点数,其基本运算关系为:
采样点数=(终止时间-起始时间)×采样速率+1
根据这个关系式,采样速率不变时,系统将遵循以下规则:
a.如果改变采样点数,系统不改变起始时间,但会根据新的采样间隔修改终止时间。

b.如果对起始时间和终止时间中的一个或全部修改,采样点数会自动修改。

c.采样点数只能是整数,若不是整数,系统将取近似整数值。

如果不修改,系统会一直保持固定的采样点数。

C.采样速率/采样间隔控制着时间步长,这2个值相互关联,改变其中一个,系统会自动修改另一个。

因为SystemView是基于数字信号处理的模型分析软件,所以不论是模拟系统还是数字系统,SystemView都要执行数字化处理。

采样率必须定义为该系统最高频率的3~4倍。

D.频率分辨率是对数据进行Fourier变换时,根据时间序列得到的频率分辨率,运算关系为:频率分辨率=采样率/采样点数
从信号源图符库、通信图符库、逻辑图符库和信号接受器图符库中选取满足需要的功能模块,并将它们拖到设计窗口中,然后进行正确的连线,就完成了系统的组建.按设计要求组建的巴克码识别器仿真电路模型如图1所示。

(4)图形分析
3.2. PN模块产生的随机信号
系统数据波形,时钟信号波形,巴克码波形已在前些节叙述,为了便于对照观察,以下介绍不同时刻仿真的巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图。

如图3.6所示,此刻在含有巴克码的数据码中恰好只出现一个单峰脉冲,
达到正确同步检测单峰脉冲要求。

图3..3 用于移位寄存器的时钟信号
而有时仿真得到如图3.2和3.5所示输出信号图,可以看到在同步脉冲前面出现了一组应数据代码与巴克码相同产生的伪同步信号。

这是因为无论选用何种巴克码始终存在与同步码组码元序列相同的数据码组。

所以使用巴克码组作为帧同步信号,和后面群同步保护讲到的同步码的保护,以实现正确的帧同步,避免伪同步的发生。

一般通过判断前后多个同步脉冲的出现周期来剔除伪同步信号。

这问题在后面群同步保护时再进一步论述。

图3.4 抽样求和得到的波形
如果仿真输出的波形如图3.5所示,看不到单峰脉冲,属于漏同步现象,可以重复仿真或加大仿真的采样点数,直到观察到单峰脉冲为止。

重复仿真可达到如上图3.5所示的效果,即达到正确同步检测单峰脉冲要求。

而加大仿真的采样点数,生成波形也可以达到要求。

图3.5判决后得到的最终结果
通过以上不同时刻仿真的巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图的分析,证实了应用SystemView系统仿真出巴克码识别器,检测识别同步单峰脉冲是可行的。

重复仿真后巴克码识别输出波形图变成如3.5所示
图3.2,图3.3,图3.4 图3.5检测器检测巴克码、时钟与求和的波形及检测输出的波形图其中检测器检测单峰脉冲波形频谱为如图所示的巴克码识别输出波形频谱。

其中检测器检测单峰脉冲波形频谱为重复仿真后,如图D所示的巴克码识别输出波形频谱。

通过以上不同时刻仿真的巴克码检测器检测单峰脉冲、数据与时钟的波形覆盖图的对照观察,看出频谱平稳有规律,失真极小,这也正是数字通信的优点之一。

通过运用SystemView系统成功地仿真出巴克码识别器,检测识别出同步单峰脉冲,为帧同步的实现提供了必要条件,这就证明了基于SystemView的巴克
码识别器的仿真的可行性和有效性。

四、结果分析及比较。

在这次课程设计中,使用仿真和设计软件SystemView对巴克码识别器进行了仿真和原理图设计,仿真的波形结果也证明了利用该软件实现巴克码识别器的可行性和有效性,给最终的硬件实现提供了良好的理论基础。

通过本次课程设计可以了解到,SystemView这款软件确实可以对硬件仿真具有很好的软件实现作用,通过它的硬件仿真波形可以对硬件的理论实现具有很好的借鉴和技术支持的作用,而在此次课程设计中这款软件对巴克码波形的仿真也十分的接近示波器的理想波形,可见它确实具有良好的硬件仿真能力。

五、总结及体会。

通过本次课程设计,我的实践动手能力有所增强,对专业软件SystemView 的使用技巧和熟练度有很大的提升。

在软件使用过程中我不断的熟练这款以前并不熟练的软件,慢慢的掌握它的主要功能,发现它使用起来很简单,主要难点在于器件参数的设置。

很多时候都是参数的设定不对,而波形便改变很大。

前后的差异相当明显,器件的参数设定才是最关键的影响波形结果的因素。

六、参考文献
[1]樊昌信,曹丽娜,《通信原理》,北京:国防工业出版, 2007.1
[2]杨建华,《通信原理实验指导》,北京:国防工业出版社,2007.5
[3]青松,程岱松,武建华,《数字通信系统的System View仿真与分析》,北京航空航天大学出版社, 2003。

相关文档
最新文档