多路彩灯控制器课程设计FPGA CPLD

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

专业班级学号姓名成绩

多路彩灯控制器

一、实验目的

1.通过实验初步了解EDA的作用。

2..熟悉ISdesign EXPERT System软件的使用方法,使自己能更加熟练的操作. 增强自己实际动手能力,独立解决问题的能力.

二、实验仪器

计算机,EDA试验箱,ISdesign EXPERT System软件,下载线。

三、设计要求

设计一个彩灯控制器,使彩灯(LED管)能连续发出6种以上不同的显示型式;具有六种花型循环变化,整个系统共有3个输入信号;控制彩灯节奏快慢的基准时钟信号CLK-IN,系统清零信号CLK,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[15,0]分别用于控制十六路彩灯。

四、设计方案

我们用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。工作原理:整个系统共有三个输入信号CP、S和K,十六路输出信号。时钟信号CP由外部输入到节拍发生器,节拍选择信号S先输入到控制器,再由控制器输出选择控制信号Y到节拍发生器,随时控制快慢节拍的转换。节拍发生器产生的节拍信号分别输出到控制器、编码电路和驱动电路。编码电路输出反馈信号给控制器,控制器输出信号控制编码电路的各个子模块交替工作,产生六种花型,再由驱动电路将信号输出到彩灯。K为清零信号,由外部输入到控制器,K=0时,系统回到等待状态,彩灯全灭;K=1时,系统工作。

结构框图如图8-5所示。

五、源程序

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating

---- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity caideng is port( clk: in std_logic;

clr: in std_logic;

led: out std_logic_vector( 7 downto 0)); end caideng;

architecture Behave of caideng is signal cnt: std_logic_vector( 3 downto 0);

begin process(clr,clk) begin if clr='0' then

cnt<="0000"; elsif clk'event and clk='1' then

cnt<=cnt+1;

end if;

end process; process(cnt) begin case cnt is

when "0000" => led<="11111111";

when "0001" => led<="10101010"; when "0010" => led<="11001100"; when "0011" => led<="11110000"; when "0100" => led<="00110011"; when "0101" => led<="00001111"; when "0110" => led<="00000011";

when "0111" => led<="00000000"; when "1000" => led<="01010101";

when "1001" => led<="11111100"; when "1010" => led<="11110000";

when "1011" => led<="11001100"; when "1100" => led<="00001111"; when "1101" => led<="00110011"; when "1110" => led<="01010101"; when "1111" => led<="00000000";

when others => led<="11111111"; end case; end process; end Behave;

六、仿真图

1、综合图

2、波形图

波形分析

从仿真波形图中可以看出,低电平为亮,高电平为灭。Clk表示时钟,其上升沿的时候灯型变换,clr是清零端(即复位端),低电平有效,当clr为1的时候,彩灯按预先的程序变换。即:11111111";"10101010";"11001100";"11110000";"00110011";"00001111"; "00000011";"00000000";"01010101";"11111100";"11110000"; "11001100"; "00001111"; "00110011"; "01010101";"00000000"; 3、编译图

4. 将所有程序进行器件适配,成功后设定管脚

5、下载程序

6、实际电路图

七、心得体会

在整个设计过程中,我熟悉掌握了ISdesign EXPERT System软件的使用方法,并且了解了怎样综合,下载,仿真等过程。通过这能够锻炼我们独立思考设计及查阅相关资料的能力,以及对待问题时的态度和处理事情的能力。此外,还要有足够的耐心和细心,遇到不理解不明白的地方要及时查找资料,在不断学习的过程中提升自己。做什么事情都要踏实、严谨的对待,遇到困难不放弃,理论联系实际,在失败中总结经验,在成功中学习方法,不断提升自己。

相关文档
最新文档