数电x

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

成绩评定表

学生姓名田大露班级学号1303060220 专业通信工程课程设计题目音量控制电路的

设计与实现

组长签字:

成绩

日期20 年月日

课程设计任务书

学院信息科学与工程学院专业通信工程

学生姓名田大露班级学号 1203060220

课程设计题目音量控制电路的设计与实现

实践教学要求与任务:

1、熟悉音量控制电路的基本原理

2、熟悉quartersⅡ的环境

3、熟悉Multisim环境

4、对音量控制电路进行结果验证及分析

工作计划与进度安排:

第一周熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法,

包括采用触发器设计和超高速硬件描述语言设计,体会自上而

下、自下而上设计方法的优缺点。

第二周 1、在QuartusⅡ环境中用VHDL语言实现音量控制电路在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。

2、在Multisim环境中仿真实现数字计步器,并通过虚拟仪器验证其

正确性。

指导教师:

201 年月日专业负责人:

201 年月日

学院教学副院长:

201 年月日

摘要

本设计是在前导验证性认知实验的基础上,进行更高层次的命题设计实验——十二级数字音量控制电路,在教师指导下独立查阅资料、设计、特定功能的电子电路。以此培养利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向成功。将介绍一种由数字电路构成音量控制电路的设计方法。这种数字式音量自动控制电路将是未来音量控制发展的主流,将越来越受消费者喜爱。在音响设备中通常采用电位器进行音量控制。但经常进行音量控制时,又容易使电位器磨损而出故障而采用数字式音量控制就可以避免模拟调节易受干扰的缺点,而且操作控制更加方便。

关键字:音量控制电路;电位器;数字式

目录

一、课程设计目的 (5)

二、课程题目实现框图 (5)

三、实现过程 (5)

3.1Q UARTUS II8.1实现过程(VHDL) (5)

3.1.1 建立工程 (6)

3.1.2 编译程序 (9)

3.1.3 波形仿真 (18)

3.1.4引脚锁定与下载 (20)

3.2M ULTISIM 实现过程(电路设计) (21)

3.2.1单元功能电路设计 (21)

3.2.2 画逻辑电路图 (23)

3.2.3 逻辑分析仪的仿真 (24)

3.2.4 仿真结果分析 (24)

四、设计总结 (24)

五、参考文献 (26)

一、 课程设计目的

1、了解数字系统设计方法。

2、熟悉VHDL 语言及其仿真环境、下载方法。

3、熟悉Multisim 环境,学会使用逻辑符号及元件画逻辑电路图。

二、课程题目实现框图

1、。如图2-1所示是音量控制电路的结构示意框图。

三、实现过程

3.1 QuartusII8.1实现过程(VHDL)

图2-1 结构示意框图

显示器

译码器

计数器

3.1.1 建立工程

(1)启动QUARTUS软件,如图3-1 所示:

图3-1 QUARTUS软件的启动界面

(2)点击File –> New Project Wizard创建一个新工程,如图3-2所示:

图3-2 工程创建向导的启始页

(3)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3-3所示;

图3-3 输入工程名称、存储目录

(4)点击Next,若目录不存在,系统可能提示创建新目录,点击“是”按钮创建新目录,如图3-4所示;

图3-4 提示是否添加文件

(5)点击Next,进入设备选择对话框,这里选中实验箱的核心芯片CYCLONE系列FPGA 产品EP1C6Q240C8,如图3-5所示;

图3-5 芯片型号选择

(6)点击Next,提示是否需要其他EDA工具,这里不选任何其他工具,如图3-6;

图3-6 提示是否利用其他EDA设计工具

(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程

创建向导将生成一个工程,在窗口左侧显示出设备型号和该工程的基本信息等。如图3-7所示:

图3-7 工程阐述汇总

3.1.2 编译程序

为实现用一个拨码开关控制一个LED亮灭的功能,可用VHDL编写一个程序实现,具体操作过程如下:

(1)点击File->New创建一个设计文件,如图3-8所示;

图3-8 创建一个设计文件

(2)选择设计文件的类型为VHDL File;

(3)点击OK,窗口右侧为VHDL的编辑窗口,如图3-9所示:

图 3-9 新建的一个VHDL源文件的编辑窗口(4)在编辑窗口中编辑以下程序:

library ieee;

use ieee.std_logic_1164.all;

entity jibuqi is

port(scan_clk:in std_logic;

clk0,alter,start: in std_logic;

S :out std_logic_vector(5 downto0);

a,b,c,d,e,f,g :out std_logic

);

end jibuqi;

architecture arch of jibuqi is

signal t :std_logic_vector(3 downto 0);

signal r :std_logic_vector(6 downto 0);

signal clk :std_logic;

相关文档
最新文档