数电x
《数字电路基础知识》课件
译码器电路的实现方法
译码器电路可以将二进制码译 成对应的输出信号,用于解码 器索引和操作译码器等。
时序电路的基本概念
时序电路是一种存储器、计数器或时钟驱动电路,需要实时累计和监测信号。时序电路是数字电 路的重要部分。
1
电平触发器的工作原理
触发器是时序电路中最重要的元件之一,能够对电路的状态进行存储和传输。基 本电平触发器的工作原理是使用两个交替的输入信号。
与门电路的实现方法
与门实现的最简单的方法是使 用传统的晶体管,并将它们放 置在一个电路中以实现多个与 门。
或门电路的实现方法
或门的实现方式是将输入值通 过晶体管或其他逻辑门与门电 路相连,利用传输功能对各个 输入执行逻辑或运算。
非门电路的实现方法
一般使用晶体管构建反相器电 路实现非门的功能。
逻辑函数的表达式
存储和传输信息更方便、可靠、快速,
数字电路的缺点
2
且信息可以以数字形式进行编码传输, 从而增强了信息的安全性。
过多的操作会增加电路复杂度,掉电
后信号需要重新设置,同时存在噪声
干扰的影响。
3
数字电路的应用
应用领域涉及电脑、手机、无人驾驶 汽车等,数字逻辑电路的实现可以加 速复杂计算、数据处理和控制过程。
二进制数的基本概念
在计算机系统中,数据以二进制形式存储和处理。因此,理解二进制数的基本概念是理解数字电路的前 提。
数位
二进制数由1和0组成的数码表示,在数码中 表现为位。
进位
由于二进制只有0和1,进位是加法必须的。 当两个二进制数相加时,当每一位相加结果 超过1时,需要进位。
原码、反码和补码
计算机中采用补码作为数字的存储方式,可 以实现加减运算。
数电 知识点总结
数电知识点总结概述:数电(数字电子学)是研究数字电路和数字系统的学科,是现代电子学的一个重要分支。
数电主要研究数字信号的产生、处理、传输和存储等方面的问题。
在现代信息和通信技术中,数电起着举足轻重的作用,因此它是电子工程技术中的重要基础课程。
一、数字电路的基本概念1. 信号与系统信号可以分为模拟信号和数字信号两种。
模拟信号是以连续的形式表示的信号,而数字信号是以离散的形式表示的信号。
数字信号由一系列离散的电平组成,每个电平代表一个离散的数值。
数字信号的基本单位是比特,表示一个二进制数码。
2. 二进制数码二进制是一种适合数字电路处理的码制,它只包含两种状态(0和1),因此逻辑电路的设计更简单、可靠。
在数字电路设计中,计数和存储的基本单位都是二进制。
3. 逻辑门逻辑门是由一个或多个传递器件组成的电路,在它的输入端和输出端之间存在特定的逻辑关系。
常见的逻辑门有与门、或门、非门、异或门等。
逻辑门是数字电路的基本组成单元,可以用来实现各种逻辑函数。
4. 组合逻辑电路组合逻辑电路是由逻辑门组成的电路,其输出只依赖于当前输入的状态,和输入变化时输出的变化无关。
组合逻辑电路可以用来实现任意的布尔逻辑函数。
5. 时序逻辑电路时序逻辑电路是由组合逻辑电路和触发器组成的电路,其输出不仅依赖于当前输入的状态,还与触发器的状态有关。
时序逻辑电路可以用来处理时序信息,例如时钟信号、计数器等。
二、数字系统的表示与运算1. 布尔代数布尔代数是一种代数系统,用来研究逻辑变量之间的运算和关系。
它有两个基本运算:与运算(∧)、或运算(∨)、非运算(¬)。
在数字系统中,布尔代数是描述逻辑运算和逻辑关系的数学工具。
2. 二进制加法二进制加法是二进制数字之间的加法运算,和十进制加法类似。
但是在二进制加法中,只有两个基本数码(0和1),因此进位特别简单。
二进制加法是数字系统中的基本运算之一。
3. 二进制乘法二进制乘法是二进制数字之间的乘法运算,和十进制乘法类似。
数电基本知识点总结
数电基本知识点总结一、数字电子学概述数字电子学是研究数字系统中的信号处理和信息表示的学科。
它主要关注二进制数字信号的传输、处理和存储。
数字电子学的基础是逻辑运算,这些运算是构建更复杂数字系统的基本元素。
二、数制和编码1. 数制- 二进制数制:使用0和1两个数字表示所有数值的数制,是数字电子学的基础。
- 八进制数制:使用0到7八个数字表示数值,常用于简化二进制数的表示。
- 十进制数制:使用0到9十个数字表示数值,是日常生活中最常用的数制。
- 十六进制数制:使用0到9和A到F十六个数字表示数值,常用于计算机编程中。
2. 编码- ASCII编码:用于表示文本字符的一种编码方式。
- 二进制编码:将数据转换为二进制形式进行存储和传输。
- 格雷码:一种二进制数系统,用于减少错误的可能性。
三、基本逻辑门1. 与门(AND)- 逻辑表达式:A∧B- 输出为真(1)仅当所有输入都为真。
2. 或门(OR)- 逻辑表达式:A∨B- 输出为真(1)只要至少有一个输入为真。
3. 非门(NOT)- 逻辑表达式:¬ A- 输出为真(1)当输入为假(0)时。
4. 异或门(XOR)- 逻辑表达式:A⊕B- 输出为真(1)当输入不相同时。
四、组合逻辑组合逻辑是指输出仅依赖于当前输入的逻辑电路。
这些电路不包含存储元件,因此没有记忆功能。
1. 逻辑门的组合- 通过基本逻辑门的组合,可以构建更复杂的逻辑函数。
2. 多级逻辑- 多个逻辑门按层次结构连接,形成复杂的逻辑电路。
3. 逻辑表达式简化- 使用布尔代数规则简化逻辑表达式,优化电路设计。
五、时序逻辑时序逻辑电路的输出不仅依赖于当前的输入,还依赖于过去的输入(即电路的历史状态)。
1. 触发器(Flip-Flop)- 基本的时序逻辑元件,能够存储一位二进制信息。
2. 计数器(Counter)- 顺序记录输入脉冲的数量,常用于定时和计数。
3. 寄存器(Register)- 由一系列触发器组成,用于存储多位二进制信息。
数电知识点整理
第一篇: 数电知识点总结(整理版)数电复习知识点第章1、了解任意进制数的一般表达式、2-8-10-16进制数之间的相互转换2、了解码制相关的基本概念和常用二进制编码( 8421BCD、格雷码等)第三章1、掌握与、或、非逻辑运算和常用组合逻辑运算(与非、或非、与或非、异或、同或)及其逻辑符号,2、掌握逻辑问题的描述、逻辑函数及其表达方式、真值表的建立3、掌握逻辑代数的基本定律、基本公式、基本规则( 对偶、反演等)4、掌握逻辑函数的常用化简法( 代数法和卡诺图法) ;5、掌握最小项的定义以及逻辑函数的最小项表达式,掌握无关项的表示方法和化简原则,6、掌握逻辑表达式的转换方法(与或式、与非- 与非式、与或非式的转换)第四章1、了解包括MOS在内的半导体元件的开关特性;2、掌握TTL门电路和MOS门电路的逻辑关系的简单分析3、了解拉电流负载、灌电流负载的概念、噪声容限的概念,4、掌握OD门、OC门及其逻辑符号、使用方法:5、掌握三态门及其逻辑符号、使用方法:6、掌握CMOS传输门及其逻辑符号、使用方法7、了解正逻辑与负逻辑的定义及其对应关系8、掌握TTL与CMOS门电路的输入特性(输入端接高阻、接低阻、悬空等)第五章1、掌握组合逻辑电路的分析与设计方法2、掌握产生竞争与冒险的原因、检查方法及常用消除方法3、掌握常用的组合逻辑集成器件( 编码器、译码器、数据选择器)4、掌握用集成译码器实现逻辑函数的方法5、掌握用2n选数据选择器实现n或者n +1个变量的逻辑函数的方法,第六章1、掌握各种触发器(RS、D、JK、T、T’)的功能、特性方程及其常用表达方式( 状态转换表、状态转换图、波形图等)2、了解各种RS触发器的约束条件3、掌握异步清零端Rd和异步置位端Sd的用法2、了解不同功能触发器之间的相互转换:第七章1、了解时序逻辑电路的特点和分类,2、掌握时序逻辑电路的描述方法(状态转移表、状态转移图、波形图、驱动方程、状态方程.输出方程) ;3、掌握同步时序逻辑电路的分析与设计方法,掌握原始状态转移图的化简4、了解异步时序逻辑电路的简单分析5、掌握移位寄存器、计数器的功能、工作原理和实际应用等6、掌握集成计数器实现任意进制计数器的方法,7、掌握用移位寄存器、计数器以及其他组合逻辑器件构成循环序列发生器的原理第八章1、掌握门电路和分立元件构成的施密特触发器、单稳态触发器、多谐振荡器的电路组成及工作原理,掌握相关参数的计算方法,2、掌握用555电路构成施密特触发器、单稳态触发器、多谐振荡器的方法以及工作参数的计算或者改变方法第九章RG1、了解ROM和RAM的基本概念;R2、了解存储器容量的表示方法和扩展方法,了解存储容量与地址线、数据线的关系.第一章数制和码制1、什么是数制、码制2、二进制、十进制、八进制、十六进制相互转换,不同数制数的的大小排列3、求二进制数的反码、补码(包括无符号数、有符号数)4、十进制数对应的8421BCD码、余三码、格雷码第二章、逻辑代数0、什么是逻辑代数,逻辑变量的取值特点1、与、或、非、与非、或非、与或非、异或、同或运算,运算符号、逻辑符号、逻辑功能、运算关系2、逻辑代数基本公式: 运算规则、运算法则、交换律、结合律、分配率、摩根定律公式3、逻辑代数常用公式: 吸收率I、II几余律4、逻辑代数基本定理:代入定理、反演定理、对偶定理,求反演式、对偶式5、什么是逻辑函数卡诺图化简D逻辑函数式( 最小项表达式)0真值表D6、逻辑函数的表示方法:真值表、逻辑函数式、逻辑图、波形图以及相互之间的转换逻辑问题抽象7、逻辑函数化简( 公式、卡诺图)第三章1、什么是门电路2、正负逻辑的概念3、二极管、三极管、MOS管开关特性: 静态开关特性、动态开关特性( 主要是结论)4、二极管与门、或门原理,写表达式5、CMOS反相器、CMOS与非门、CMOS或非门,认识电路写表达式6、CMOS反相器闻值电压值、输入噪声容限值及其意义7、CMOS门电路无输入特性,输出特性: 输出低电平电流、输出高电平电流8、CMOS门电路传输延迟时间意义9、OD门、TS门给出逻辑符号电路会写表达式、分析功能;OD门输出并联使用功能.10、CMOS 传输门功能(根据逻辑符号分析)、双向模拟开关功能分析11、CMOS门电路多于不用输入端的处理( 不影响逻辑功能、不能悬空)12、三极管反相器分析13、TTL反相器:输入高、低电平各三极管的工作状态( 导通、截止、饱和、倒置工作情况)14、TTL反相器闽值电压值、输入噪声容限电压值及其意义15、TTL反相器输入特性曲线读出输入短路电流值(输入低电平电流值)、输入高电平电流值理解输出高电平电流、输出低电平电流( 极限值);TTL反相器扇出系数的计算及其意义16、TTL反相器输入负载特性: 开门电阻(值)、关门电阻( 值)17、TTL反相器传输延迟时间的意义18、TTL与非门(多发射极三极管实现的逻辑功能)、或非门,认识电路并写逻辑表达式19、普通TTL门、CMOS输出端能否并联使用20、OC门、TS门逻辑符号给定电路分析逻辑功能并画波形图,第四章组合逻辑电路1、组合逻辑电路、时序逻辑电路的概念区别,电路区别2、组合逻辑电路分析( 真值表分析逻辑功能)3、组合逻辑电路设计(分立门电路器件设计、中规模集成电路设计译码器、数据选择器等)组合逻辑电路设计的步骤(最简与或表达式、与非-与非表达式、最简与或非表达式)4、编码器: 输入信号端与输出编码端的关系;互斥编码器、优先编码器区别01000,其余全为1,则输出编码为Y2"Y1"YO"口'74HC148功能,若输入I5 74HC147功能.5、译码器:输入编码端与输出信号端的关系74HC138功能分析:基本功能、扩展功能;两片74HC138级联构成4线-16线译码器;74HC138设计组合逻辑函数;6、数据选择器:概念(含义);输入信号、输出端的关系;74HC153、74HC151基本功能扩展功能、级联;数据选择器设计组合逻辑函数。
数电(PDF)
第6 章时序逻辑电路61时序逻辑电路的简介§ 6.1 时序逻辑电路的简介时序逻辑电路结构基本单元:触发器(基本逻辑门+反馈线基本单元: 触发器( 基本逻辑门+ 反馈线)具有记忆功能输入输出取决于以前的状态同步的异步的所有触发器在时钟脉冲的同一个边沿被触发1时序电路分类触发器不在同一时刻触发时序电路的结构:组合逻辑电路+ 触发器(存储单元)X Z组合逻辑电路X: 外部输入Z:外部输出wQ触发器电路W: 控制输入J, K, D, TW:控制输入--J K D TQ:触发器的状态Q: 触发器的状态2XZ各变量之间的关系:组合逻辑电路(,)Z F X Q =)输出方程触发器电路wQ(,W H X Q =1n nG W +=特征方程驱动方程(,)QQ 按照电路中输出变量是否和输入变量直接相关时序电路Mealy -type (米里型)输出Z Q n X3Moore -type (莫尔型)输出Z ~ Q n§6.2 同步时序电路的分析电路分析: 给定电路, 研究电路的原理,描述电路的功能.例1: 分析下图的同步时序电路1)输入控制输入X J 0, K 0, J 1, K 14输出状态ZQ 1 (高位), Q 0 (低位)列出方程n nn表示当前状态不能省略n 表示当前状态,不能省略状态图图例0nQ 1n Q 11n Q +10n Q +XZ 状态表X/ZQ 1Q 00101000 0 00 0 10 1 00110010/00100001 0 01 0 11100 1 1010010/01/01/11/01/00000101 1 01 1 100110/10/010对应一个CLK每条转换线对应着真值表中的行7每条转换线对应着真值表中的一行4) 电路功能0/0X/Z Q 1Q 001110/01/01/11/01/0000/10/010状态图的主循环:摸3的双向加法器X=0, M-3 加法器:Z =1,进位;顺时针循环X=1M 3减法器借位8X=1, M-3 减法器:Z =1,借位。
数电知识点汇总
数电知识点汇总一、数制与编码。
1. 数制。
- 二进制:由0和1组成,逢2进1。
在数字电路中,因为晶体管的导通和截止、电平的高和低等都可以很方便地用0和1表示,所以二进制是数字电路的基础数制。
例如,(1011)₂ = 1×2³+0×2² + 1×2¹+1×2⁰ = 8 + 0+2 + 1=(11)₁₀。
- 十进制:人们日常生活中最常用的数制,由0 - 9组成,逢10进1。
- 十六进制:由0 - 9、A - F组成,逢16进1。
十六进制常用于表示二进制数的简化形式,因为4位二进制数可以用1位十六进制数表示。
例如,(1101 1010)₂=(DA)₁₆。
- 数制转换。
- 二进制转十进制:按位权展开相加。
- 十进制转二进制:整数部分采用除2取余法,小数部分采用乘2取整法。
- 二进制与十六进制转换:4位二进制数对应1位十六进制数。
将二进制数从右向左每4位一组,不足4位的在左边补0,然后将每组二进制数转换为对应的十六进制数;反之,将十六进制数的每一位转换为4位二进制数。
2. 编码。
- BCD码(Binary - Coded Decimal):用4位二进制数来表示1位十进制数。
常见的有8421 BCD码,例如十进制数9的8421 BCD码为(1001)。
- 格雷码(Gray Code):相邻的两个代码之间只有一位不同。
在数字系统中,当数据按照格雷码的顺序变化时,可以减少电路中的瞬态干扰。
例如,3位格雷码的顺序为000、001、011、010、110、111、101、100。
二、逻辑代数基础。
1. 基本逻辑运算。
- 与运算(AND):逻辑表达式为Y = A·B(也可写成Y = AB),当A和B都为1时,Y才为1,否则Y为0。
在电路中可以用串联开关来类比与运算。
- 或运算(OR):逻辑表达式为Y = A + B,当A和B中至少有一个为1时,Y为1,只有A和B都为0时,Y为0。
数电实验报告答案
实验名称:数字电路基础实验实验目的:1. 熟悉数字电路的基本原理和基本分析方法。
2. 掌握数字电路实验设备的使用方法。
3. 培养动手实践能力和分析问题、解决问题的能力。
实验时间:2023年X月X日实验地点:实验室XX室实验仪器:1. 数字电路实验箱2. 万用表3. 双踪示波器4. 数字信号发生器5. 短路线实验内容:一、实验一:基本逻辑门电路实验1. 实验目的- 熟悉与门、或门、非门的基本原理和特性。
- 学习逻辑门电路的测试方法。
2. 实验步骤- 连接实验箱,设置输入端。
- 使用万用表测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证逻辑门电路的特性。
3. 实验结果与分析- 实验结果与理论预期一致,验证了与门、或门、非门的基本原理。
- 通过实验,加深了对逻辑门电路特性的理解。
二、实验二:组合逻辑电路实验1. 实验目的- 理解组合逻辑电路的设计方法。
- 学习使用逻辑门电路实现组合逻辑电路。
2. 实验步骤- 根据设计要求,绘制组合逻辑电路图。
- 连接实验箱,设置输入端。
- 测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证组合逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了组合逻辑电路的功能。
- 通过实验,掌握了组合逻辑电路的设计方法。
三、实验三:时序逻辑电路实验1. 实验目的- 理解时序逻辑电路的基本原理和特性。
- 学习使用触发器实现时序逻辑电路。
2. 实验步骤- 根据设计要求,绘制时序逻辑电路图。
- 连接实验箱,设置输入端和时钟信号。
- 使用示波器观察输出波形。
- 记录不同输入组合和时钟信号下的输出结果。
- 分析实验结果,验证时序逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了时序逻辑电路的功能。
- 通过实验,加深了对时序逻辑电路特性的理解。
四、实验四:数字电路仿真实验1. 实验目的- 学习使用数字电路仿真软件进行电路设计。
(数电知识)原码、反码与补码知识
2.1 原码、反码与补码在计算机内的数(称之为“机器数”)值有3种表示法:原码、反码和补码。
所谓原码就是带正、负号的二进制数,即最高位为符号位,“0”表示正,“1”表示负,其余位表示数值的大小。
反码表示法规定:正数的反码与其原码相同;负数的反码是对其原码逐位取反,但符号位除外。
补码表示法规定:正数的补码与其原码相同;负数的补码是在其反码的末位加1。
由此可见,这三种表示法中,关键是负数的表示方式不一样。
2.2.1 正负数表示、定点数与浮点数在计算机内,通常把1个二进制数的最高位定义为符号位,用“0”表示正数,“1”表示负数;其余位表示数值。
规定小数点位置固定不变的数称为“定点数”;小数点的位置不固定,可以浮动的数称为“浮点数”。
2.2.2 原码原码表示法是定点数的一种简单的表示法。
用原码表示带符号二进制数时,符号位用0表示正,1表示负;数值位保持不变。
原码表示法又称为符号-数值表示法。
1. 小数原码表示法设有一数为x,则原码表示可记作[x]原(下标表示)。
例如,X1= +1010110 ;X2= -1001010原码表示数的范围与二进制位数有关。
设二进制小数X=±0.X1X2…Xm,则小数原码的定义如下:例如:X=+0.1011时,根据以上公式可得[X]原=0.1011;X=-0.1011时,根据以上公式可得[X]原= 1-(-0.1011)=1.1011=1.1011当用8位二进制来表示小数原码时,其表示范围为:最大值为0.1111111,其真值约为(0.99)10 ;最小值为1.1111111,其真值约为(-0.99)10。
根据定义,小数“0”的原码可以表示成0.0…0或1.0…0。
2. 整数原码表示法整数原码的定义如下:例如:X=+1101时,根据以上公式可得[X]原=01101;X=-1101时,根据以上公式可得[X]原=24-(-1101)=10000+1101=11101当用8位二进制来表示整数原码时,其表示范围为:最大值为01111111,其真值为(127)10 ;最小值为11111111,其真值为(-127)10 。
数电的知识点总结
数电的知识点总结数电的基本概念与原理数字电子技术是一门研究数字信号处理、存储和传输的学科,它是借助符号逻辑(位逻辑)和数字信号理论来进行数字信息的处理。
数字电子技术的基本概念与原理包括逻辑门、布尔代数、数字逻辑电路等。
逻辑门是数字电子技术的基础组成单元,逻辑门主要有与门、或门、非门、异或门、与非门、或非门、同或门等。
逻辑门是根据布尔代数的原理构建的,布尔代数是一种数学体系,用来描述由逻辑变量和逻辑运算构成的表达式的代数系统,它包含了与、或、非等逻辑运算。
数字电子技术的逻辑门和布尔代数的知识是数电的基本概念。
数字逻辑电路是由逻辑门按照一定的连接方式经过布局和布线形成的电路,它能够执行特定的逻辑功能。
数字逻辑电路一般包括组合逻辑电路和时序逻辑电路两种类型,组合逻辑电路的输出仅依赖于当前的输入,时序逻辑电路的输出还受到时钟信号的影响。
数电的基本原理是基于二进制的储存和传输信息。
在数电中,信息是以二进制形式表示和操作的,二进制是一种用0和1来表示量的编码形式。
数电使用二进制编码可以实现高效的信息处理和传输,二进制编码可以更好地利用现代计算机、通信系统等机器和设备,提高处理速度和数据传输的可靠性。
数电的应用数电技术广泛应用于数字电路、数字通信、计算机体系结构、数字信号处理、嵌入式系统、通信网络等领域。
在数字电路方面,数电技术主要应用于设计数字逻辑电路和数字系统。
数字逻辑电路通过逻辑门、触发器、寄存器、计数器等器件的组合,实现了从简单非线性函数到复杂算法运算的功能。
数字系统是数字电路的扩展和延伸,它是由数字信号处理器、存储器、接口电路、控制器等器件构成的一个相互关联并协同工作的系统。
在数字通信领域,数电技术用于设计数字通信系统、数字调制解调器、数字信号处理器等设备。
数字通信系统和数字调制解调器利用数电技术可以实现高速传输和高可靠性的数字通信,数字信号处理器可以对数字信号进行滤波、解码、频谱分析、数据压缩等处理。
数电知识点总结
数电知识点总结数字电子技术(简称数电)是电子信息类专业的一门重要基础课程,它主要研究数字信号的传输、处理和存储。
下面为大家总结一些关键的数电知识点。
一、数制与码制数制是指用一组固定的数字和一套统一的规则来表示数的方法。
常见的数制有十进制、二进制、八进制和十六进制。
十进制是我们日常生活中最常用的数制,它由 0、1、2、3、4、5、6、7、8、9 这十个数字组成,遵循“逢十进一”的原则。
二进制则只有 0 和 1 两个数字,其运算规则简单,是数字电路中最常用的数制,遵循“逢二进一”。
八进制由0、1、2、3、4、5、6、7 这八个数字组成,“逢八进一”。
十六进制由 0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F 这十六个数字和字母组成,“逢十六进一”。
码制是指用不同的代码来表示不同的信息。
常见的码制有BCD 码、格雷码等。
BCD 码用四位二进制数来表示一位十进制数,有 8421 BCD 码、5421 BCD 码等。
格雷码的特点是相邻两个编码之间只有一位发生变化,这在数字电路中可以减少错误的产生。
二、逻辑代数基础逻辑代数是数字电路分析和设计的数学工具。
基本逻辑运算包括与、或、非三种。
与运算表示只有当所有输入都为 1 时,输出才为 1;或运算表示只要有一个输入为 1,输出就为 1;非运算则是输入为 1 时输出为 0,输入为 0 时输出为 1。
逻辑代数的基本定律有交换律、结合律、分配律、反演律和吸收律等。
这些定律在逻辑函数的化简和变换中经常用到。
逻辑函数的表示方法有真值表、逻辑表达式、逻辑图、卡诺图等。
真值表是将输入变量的所有可能取值组合及其对应的输出值列成的表格;逻辑表达式是用逻辑运算符将输入变量连接起来表示输出的式子;逻辑图是用逻辑门符号表示逻辑函数的电路图;卡诺图则是用于化简逻辑函数的一种图形工具。
三、门电路门电路是实现基本逻辑运算的电子电路。
常见的门电路有与门、或门、非门、与非门、或非门、异或门和同或门等。
数电考试知识点总结
数电考试知识点总结一、数字电路的基本概念1.1 信号与信号的分类信号是一种描述信息的表现形式,它可以是数学函数、电流、电压或其他物理量。
信号可以分为模拟信号和数字信号两种。
模拟信号是连续的,它的值可以在一定范围内连续变化;数字信号是离散的,它的值只能取有限的几种状态。
1.2 二进制码二进制码是一种用“0”和“1”来表示信息的编码方式,是数字电路中常用的编码方式。
二进制码可以表示数字、文字、图像等各种信息,是数字系统的基础。
1.3 逻辑门逻辑门是用来进行逻辑运算的元器件,它可以实现与、或、非、异或等逻辑运算。
常见的逻辑门有与门、或门、非门、与非门、或非门、异或门等多种类型。
二、组合逻辑电路2.1 组合逻辑电路的基本结构组合逻辑电路是由逻辑门组成的电路,它的输出只依赖于输入的当前值,而不考虑输入的历史状态。
组合逻辑电路可以用来实现各种逻辑运算和信息处理功能。
2.2 真值表真值表是用来描述逻辑运算结果的一种表格形式,它列出了各种可能的输入组合所对应的输出值。
真值表可以用来验证逻辑电路的正确性,也可以用来设计逻辑电路。
2.3 编码器和解码器编码器是用来将多个输入信号编码成一个二进制输出信号的电路,解码器则是用来将一个二进制输入信号解码成多个输出信号的电路。
编码器和解码器在数字通信和信息处理中有着重要的应用。
2.4 多路选择器和数据选择器多路选择器是一种能够从多个输入中选择一个输出的电路,数据选择器则是一种对输入数据进行选择的电路。
多路选择器和数据选择器在信息处理和信号传输中有着广泛的应用。
2.5 码变换器和位移寄存器码变换器是一种能够将一个编码转换成另一个编码的电路,位移寄存器则是一种能够实现数据位移操作的电路。
码变换器和位移寄存器在数字信号处理和通信中有着重要的作用。
三、时序逻辑电路3.1 时序逻辑电路的基本概念时序逻辑电路是在组合逻辑电路的基础上加入了时钟信号控制的一种电路。
它的输出不仅依赖于输入的当前值,还可能依赖于输入的历史状态。
数电入门(基本原理)
非门是实现逻辑非运算的电路,其输出状态总是与输入状态相反。如果输入端为高电平,则输出端为 低电平;如果输入端为低电平,则输出端为高电平。
与非门和或非门
复合逻辑门电路
与非门和或非门是复合逻辑门电路,分别 由与门和非门组合而成。与非门的输出状态 与输入状态的关系是:当所有输入端都为高 电平时,输出端为低电平;其他情况下,输 出端为高电平。或非门的输出状态与输入状 态的关系是:当所有输入端都为低电平时, 输出端为高电平;其他情况下,输出端为低
二进制数的表示
在计算机中,二进制数通常用0和1组成的字 符串表示。
二进制数的运算
加法、减法、乘法和除法等基本运算规则。
二进制数的应用
计算机内部信息的存储和传输都是以二进制 形式进行的。
比特与字节
01
02
03
比特(bit)
二进制位,是信息量的基 本单位。
字节(Byte)
由8个比特组成,是计算 机存储容量的基本单位。
自底向上的设计方法
要点一
总结词
从门级到系统级的设计方法
要点二
详细描述
自底向上的设计方法是从局部到整体的设计思路,首先从 基本的门电路和触发器等基本元件出发,根据实际需求逐 步构建复杂的逻辑电路和系统,最终实现整个系统的设计 和功能。这种方法有利于充分利用现有的元件和电路模块 ,减少设计时间和成本。
06
CATALOGUE
数字电路设计方法
自顶向下的设计方法
总结词
从系统级到门级的设计方法
详细描述
自顶向下的设计方法是从整体到局部的设计 思路,首先确定系统的总体结构和功能,然 后逐步细化各个模块的功能和电路结构,最 终实现具体的电路设计。这种方法有利于系 统级的设计和控制,能够提高设计的可维护 性和可扩展性。
数电期末总结基础知识要点说明
数字电路各章知识点第1章 逻辑代数基础一、 数制和码制1.二进制和十进制、十六进制的相互转换 2.补码的表示和计算 3.8421码表示 二、 逻辑代数的运算规则1.逻辑代数的三种基本运算:与、或、非 2.逻辑代数的基本公式和常用公式 逻辑代数的基本公式(P10) 逻辑代数常用公式:吸收律:A AB A =+消去律:AB B A A =+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A •=+ B A AB B A B A +=+ 三、 逻辑函数的三种表示方法及其互相转换 ★逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-6、例1-7 逻辑函数的最小项表示法 四、 逻辑函数的化简: ★1、 利用公式法对逻辑函数进行化简2、 利用卡诺图队逻辑函数化简3、 具有约束条件的逻辑函数化简 例1.1利用公式法化简 BD C D A B A C B A ABCD F ++++=)(解:BD C D A B A C B A ABCD F ++++=)(BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、m ABCD Y 约束条件为∑8)4210(、、、、m 解:函数Y 的卡诺图如下: D B A Y +=第2章 集成门电路一、 三极管如开、关状态 1、饱和、截止条件:截止:beT VV < 饱和:CSBSB Ii Iβ>=2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 ★与门、或非门、非门、与非门、OC 门、三态门、异或、传输门 (详见附表:电气图用图形符号 P321 ) 二、 门电路的外特性★1、电阻特性:对TTL 门电路而言,输入端接电阻时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。
数电知识点总结复习
数电知识点总结复习数字电子技术是现代电子技术中的一个重要分支,它是指利用数字信号和数字逻辑技术进行信息的存储、处理和传输的一种技术。
数字电子技术已经深入到我们的日常生活中,无论是计算机、通信、电子设备还是家用电器,都离不开数字电子技术的支持。
因此,掌握数电知识对于电子工程师来说是非常重要的。
下面,我们就来总结一下数电知识点,帮助大家进行复习。
一、数字逻辑电路1. 布尔代数布尔代数是数字逻辑电路设计的基础。
它是一种处理逻辑关系的代数系统,其中变量的值只有“0”和“1”,运算只有“与”、“或”、“非”三种基本运算。
在数字逻辑电路设计中,可以利用布尔代数进行逻辑函数的化简和设计。
2. 逻辑门逻辑门是数字逻辑电路中最基本的电路组件,常见的逻辑门有与门、或门、非门、异或门等。
它们是按照逻辑运算的功能来设计的,可以实现逻辑运算的功能,如与门可以实现“与”运算,或门可以实现“或”运算。
3. 组合逻辑电路组合逻辑电路是由逻辑门按照一定的逻辑运算关系连接而成的电路。
在组合逻辑电路中,逻辑门的输出只取决于当前的输入信号,不受以前的输入信号和输出信号的影响。
4. 时序逻辑电路时序逻辑电路是在组合逻辑电路的基础上加入了时钟信号控制的逻辑电路。
它的输出不仅依赖于当前的输入信号,还受到时钟信号的控制,因此在时序逻辑电路中,输出信号是有记忆功能的。
5. 计数器计数器是一种能够对输入信号进行计数的时序逻辑电路。
它可以实现二进制或者十进制的计数功能,常见的计数器有同步计数器和异步计数器。
6. 寄存器寄存器是一种能够存储数据的时序逻辑电路。
它可以存储多位的二进制数据,并且能够根据控制信号对数据进行读写操作。
7. 存储器存储器是用于存储大量数据的器件,它有随机存取存储器和只读存储器两种类型。
随机存取存储器可以对数据进行读写操作,而只读存储器只能读取数据,不能进行写操作。
8. 逻辑运算器逻辑运算器是能够进行逻辑运算的电路,常见的逻辑运算器有加法器、减法器、乘法器、除法器等。
数电知识点总结
数电知识点总结一、数字电路基础1. 数字信号与模拟信号- 数字信号:离散的电压或电流信号,代表信息的二进制状态(0和1)。
- 模拟信号:连续变化的电压或电流信号,可以表示无限多的状态。
2. 二进制系统- 数字电路使用二进制数制,基于0和1的组合。
- 二进制的运算规则包括加法、减法、乘法和除法。
3. 逻辑门- 基本逻辑门:与(AND)、或(OR)、非(NOT)、异或(XOR)和同或(XNOR)。
- 逻辑门的真值表描述了输入和输出之间的关系。
4. 组合逻辑与时序逻辑- 组合逻辑:输出仅依赖于当前输入,不依赖于历史状态。
- 时序逻辑:输出依赖于当前输入和历史状态。
二、组合逻辑电路1. 基本组合逻辑电路- 半加器:实现两个一位二进制数的加法。
- 全加器:实现三个一位二进制数(包括进位)的加法。
2. 多路复用器(MUX)- 选择多个输入信号中的一个,根据选择信号。
3. 解码器(Decoder)- 将二进制输入转换为多个输出信号,每个输出对应一个唯一的二进制输入组合。
4. 编码器(Encoder)- 将多个输入信号编码为一个二进制输出。
5. 比较器(Comparator)- 比较两个数字信号的大小。
三、时序逻辑电路1. 触发器(Flip-Flop)- SR触发器:基于设置(S)和重置(R)输入的状态。
- D触发器:输出取决于数据输入(D)和时钟信号。
2. 寄存器(Register)- 由一系列触发器组成,用于存储数据。
3. 计数器(Counter)- 顺序触发器的集合,用于计数时钟脉冲。
4. 有限状态机(FSM)- 由状态和状态之间的转换组成的电路,根据输入信号和当前状态决定输出和下一个状态。
四、存储器1. 随机存取存储器(RAM)- 可读写存储器,允许对任何地址进行直接访问。
2. 只读存储器(ROM)- 存储器内容在制造过程中确定,用户不能修改。
3. 存储器的组织- 存储单元的排列方式,如字节、字等。
五、数字系统设计1. 数字系统的基本组成- 输入接口、处理单元、存储器和输出接口。
数电第五章触发器
例5.3.1 对于同步SR触发器,电路、时钟及输入端波形如图 5.3.3所示,若Q =0 ,试画出Q和 Q 的波形 。 5.3 电平触发的触发器 解:输出波形如图5.3.3所示 图5.3.3
5.3 电平触发的触发器
例5.3.2电路如图5.3.4所示,已知S、R、RD和CLK的 波形,且SD=1,试画出Q和Q 的波形。
注:在有些集成触发器中, 输入端J和K不止一个,这 些输入端是与的关系。如图 5.4.6为其逻辑符号图。
分两步动作:第一步在CLK =1时,主触发器受输入信 号控制,从触发器保持原态; 第二步在CLK 到达后,从 触发器按主触发器状态翻转, 故触发器输出状态只能改变 一次;
主从JK触发器在CLK=1期 间,主触发器只可能翻转一 次,因为收到反馈回来的输 出端的影响,故在CLK=1 期间若输入发生变化时,要 找出CLK 来到前的Q 状 态,决定Q*
点 , 在 基
、 逻 辑 功
, 介 绍 触
SR
分 -
各 类 触 发
的触的本
描发功章
述电能重
等平表点 。、、是
状逻各 态辑触 方符发 程号器
、
本章的内容
1
概述
2
SR锁存器
3
电平触发的触发器
4
脉冲触发的触发器
5
边沿触发的触发器
6
五. 触发器的逻辑
功能及其描述
方法 5.7 触发器的
动态特性
1.触发器:
1 1 1 1 1*
5.3 电平触发的触发器
在某些应用场合,有时需要在时钟CLK到来之前,先将
触发器预置成制定状态,故实际的同步SR触发器设置了异步
置位端S D和异步复位端R D,其电路及图形符号如图5.3.2
数电实用知识课件
数字钟的工作原理
数字钟的工作原理是通过振荡器产生频率为1MHz的信号,该信号被分频后,再被用作秒、 分、时、日等的计数脉冲,各计数器均采用60进制计数器,计数到60后进位到上一级计 数器。
数字钟的设计步骤
设计步骤包括选定电路元件、设计电路图、制作电路板等。
交通灯控制系统的工作原理 交通灯控制系统的工作原理是通过传感器检测交通流量, 控制器根据检测结果控制显示设备的显示,以实现交通流 量的控制。
交通灯控制系统的设计步骤 设计步骤包括确定控制方案、设计电路图、制作电路板等。
06
数电实用技巧与经验分享
如何优化数电设计
01
02
03
04
确定设计目标
明确数字电路的设计目标,以 便在设计和实现过程中保持目
数电利用数字信号传递信息,具有精度高、稳定性好、抗干 扰能力强等优点,在通信、计算机、智能制造等领域得到广 泛应用。
数电的基本单元
01
02
03
逻辑门电路
逻辑门电路是构成数字电 路的基本单元,包括与门、 或门、非门等基本逻辑门。
触发器
触发器是构成时序逻辑电 路的基本单元,包括JK触 发器、D触发器等。
详细描述
介绍基本逻辑门(AND、OR、NOT) 的功能和特性
触发器实验
详细描述
演示如何使用D触发 器构建简单的时序 电路
总结词:掌握触发 器的功能和工作原 理
介绍D触发器的功能 和工作原理
分析实验电路中的 状态转换和输出结 果
寄存器实验
详细描述
演示如何使用寄存器构建简单的 计数器电路
总结词:了解寄存器的基本概念 和工作原理
数字电路符号乘法
在数字电路中,乘法通常使用符号"×"表示,也可用符号"*"表示。
以下是一些常见的数字电路符号乘法的示例:
1. A × B:表示将信号A与信号B相乘。
2. X * Y:表示将信号X与信号Y相乘。
3. AB:有时候也会简写成AB来表示A与B的乘积。
这些符号通常用于表示逻辑门电路或算术运算电路中的乘法操作。
请注意,在不同的上下文中,可能会使用不同的符号表示乘法。
因此,在具体的电路设计或应用中,需要根据具体的符号约定来解读乘法操作。
希望对你有所帮助!如果你有更多的问题,请随时提问。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
成绩评定表学生姓名田大露班级学号1303060220 专业通信工程课程设计题目音量控制电路的设计与实现评语组长签字:成绩日期20 年月日课程设计任务书学院信息科学与工程学院专业通信工程学生姓名田大露班级学号 1203060220课程设计题目音量控制电路的设计与实现实践教学要求与任务:1、熟悉音量控制电路的基本原理2、熟悉quartersⅡ的环境3、熟悉Multisim环境4、对音量控制电路进行结果验证及分析工作计划与进度安排:第一周熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法,包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计方法的优缺点。
第二周 1、在QuartusⅡ环境中用VHDL语言实现音量控制电路在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。
2、在Multisim环境中仿真实现数字计步器,并通过虚拟仪器验证其正确性。
指导教师:201 年月日专业负责人:201 年月日学院教学副院长:201 年月日摘要本设计是在前导验证性认知实验的基础上,进行更高层次的命题设计实验——十二级数字音量控制电路,在教师指导下独立查阅资料、设计、特定功能的电子电路。
以此培养利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向成功。
将介绍一种由数字电路构成音量控制电路的设计方法。
这种数字式音量自动控制电路将是未来音量控制发展的主流,将越来越受消费者喜爱。
在音响设备中通常采用电位器进行音量控制。
但经常进行音量控制时,又容易使电位器磨损而出故障而采用数字式音量控制就可以避免模拟调节易受干扰的缺点,而且操作控制更加方便。
关键字:音量控制电路;电位器;数字式目录一、课程设计目的 (5)二、课程题目实现框图 (5)三、实现过程 (5)3.1Q UARTUS II8.1实现过程(VHDL) (5)3.1.1 建立工程 (6)3.1.2 编译程序 (9)3.1.3 波形仿真 (18)3.1.4引脚锁定与下载 (20)3.2M ULTISIM 实现过程(电路设计) (21)3.2.1单元功能电路设计 (21)3.2.2 画逻辑电路图 (23)3.2.3 逻辑分析仪的仿真 (24)3.2.4 仿真结果分析 (24)四、设计总结 (24)五、参考文献 (26)一、 课程设计目的1、了解数字系统设计方法。
2、熟悉VHDL 语言及其仿真环境、下载方法。
3、熟悉Multisim 环境,学会使用逻辑符号及元件画逻辑电路图。
二、课程题目实现框图1、。
如图2-1所示是音量控制电路的结构示意框图。
三、实现过程3.1 QuartusII8.1实现过程(VHDL)图2-1 结构示意框图显示器译码器计数器3.1.1 建立工程(1)启动QUARTUS软件,如图3-1 所示:图3-1 QUARTUS软件的启动界面(2)点击File –> New Project Wizard创建一个新工程,如图3-2所示:图3-2 工程创建向导的启始页(3)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3-3所示;图3-3 输入工程名称、存储目录(4)点击Next,若目录不存在,系统可能提示创建新目录,点击“是”按钮创建新目录,如图3-4所示;图3-4 提示是否添加文件(5)点击Next,进入设备选择对话框,这里选中实验箱的核心芯片CYCLONE系列FPGA 产品EP1C6Q240C8,如图3-5所示;图3-5 芯片型号选择(6)点击Next,提示是否需要其他EDA工具,这里不选任何其他工具,如图3-6;图3-6 提示是否利用其他EDA设计工具(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,在窗口左侧显示出设备型号和该工程的基本信息等。
如图3-7所示:图3-7 工程阐述汇总3.1.2 编译程序为实现用一个拨码开关控制一个LED亮灭的功能,可用VHDL编写一个程序实现,具体操作过程如下:(1)点击File->New创建一个设计文件,如图3-8所示;图3-8 创建一个设计文件(2)选择设计文件的类型为VHDL File;(3)点击OK,窗口右侧为VHDL的编辑窗口,如图3-9所示:图 3-9 新建的一个VHDL源文件的编辑窗口(4)在编辑窗口中编辑以下程序:library ieee;use ieee.std_logic_1164.all;entity jibuqi isport(scan_clk:in std_logic;clk0,alter,start: in std_logic;S :out std_logic_vector(5 downto0);a,b,c,d,e,f,g :out std_logic);end jibuqi;architecture arch of jibuqi issignal t :std_logic_vector(3 downto 0);signal r :std_logic_vector(6 downto 0);signal clk :std_logic;signal Q1,Q2,Q3,Q4,P1,P2,P3,P4:std_logic_vector(3 downto 0); signal X1,X2,X3,X4,X5:std_logic_vector(3 downto 0);beginU1:process(start,clk0)beginclk <=start and clk0;end process;U2:process(clk)beginif clk'event and clk='1' thenif Q1="0000" then Q1<="0001";elsif Q1="0001" then Q1<="0010";elsif Q1="0010" then Q1<="0011";elsif Q1="0011" then Q1<="0100";elsif Q1="0100" then Q1<="0101";elsif Q1="0101" then Q1<="0110";elsif Q1="0110" then Q1<="0111";elsif Q1="0111" then Q1<="1000";elsif Q1="1000" then Q1<="1001";elsif Q1="1001" then Q1<="0000";end if;end if;if Q1' event and Q1="0000" thenif Q2="0000" then Q2<="0001";elsif Q2="0001" then Q2<="0010";elsif Q2="0010" then Q2<="0011";elsif Q2="0011" then Q2<="0100";elsif Q2="0100" then Q2<="0101";elsif Q2="0101" then Q2<="0110";elsif Q2="0110" then Q2<="0111";elsif Q2="0111" then Q2<="1000"; elsif Q2="1000" then Q2<="1001"; elsif Q2="1001" then Q2<="0000"; end if;end if;if Q2' event and Q2="0000" thenif Q3="0000" then Q2<="0001";elsif Q3="0001" then Q3<="0010";elsif Q3="0010" then Q3<="0011";elsif Q3="0011" then Q3<="0100";elsif Q3="0100" then Q3<="0101";elsif Q3="0101" then Q3<="0110";elsif Q3="0110" then Q3<="0111";elsif Q3="0111" then Q3<="1000";elsif Q3="1000" then Q3<="1001";elsif Q3="1001" then Q3<="0000"; end if;end if;if Q3' event and Q3="0000" thenif Q4="0000" then Q4<="0001";elsif Q4="0001" then Q4<="0010";elsif Q4="0010" then Q4<="0011";elsif Q4="0011" then Q4<="0100";elsif Q4="0100" then Q4<="0101";elsif Q4="0101" then Q4<="0110";elsif Q4="0110" then Q4<="0111";elsif Q4="0111" then Q4<="1000";elsif Q4="1000" then Q4<="1001";elsif Q4="1001" then Q4<="0000"; end if;end if;if clk'event and clk='1' then if P1="0000" then P1<="0100";elsif P1="0100" then P1<="1000";elsif P1="1000" then P1<="0010";elsif P1="0010" then P1<="0110";elsif P1="0110" then P1<="0000"; end if;end if;if P1'event and P1="0000" thenif P2="0000" then P2<="0001";elsif P2="0001" then P2<="0010";elsif P2="0010" then P2<="0011";elsif P2="0011" then P2<="0100";elsif P2="0100" then P2<="0101";elsif P2="0101" then P2<="0110";elsif P2="0110" then P2<="0111";elsif P2="0111" then P2<="1000";elsif P2="1000" then P2<="1001";elsif P2="1001" then P2<="0000"; end if;end if;if P2'event and P2="0000" thenif P3="0000" then P3<="0001";elsif P3="0001" then P3<="0010";elsif P3="0010" then P3<="0011";elsif P3="0011" then P3<="0100";elsif P3="0100" then P3<="0101";elsif P3="0101" then P3<="0110";elsif P3="0110" then P3<="0111";elsif P3="0111" then P3<="1000";elsif P3="1000" then P3<="1001";elsif P3="1001" then P3<="0000"; end if;end if;if P3'event and P3="0000" thenif P4="0000" then P4<="0001";elsif P4="0001" then P4<="0010";elsif P4="0010" then P4<="0011";elsif P4="0011" then P4<="0100";elsif P4="0100" then P4<="0101";elsif P4="0101" then P4<="0110";elsif P4="0110" then P4<="0111";elsif P4="0111" then P4<="1000";elsif P4="1000" then P4<="1001";elsif P4="1001" then P4<="0000"; end if;end if;end process;U4:process(alter)beginif alter='0'then X1 <= Q1;X2 <= Q2;X3 <= Q3;X4 <= Q4;X5 <= "1111";else X1 <= "0000";X2 <= P1;X3 <= P2;X4 <= P3;X5 <= P4;end if;end process;U5:process(scan_clk)variable S1:std_logic_vector(4 downto 0):="10000"; beginif scan_clk'event and scan_clk='1' thenif S1="10000" thenS1:="01000";elsif S1="01000" thenS1:="00100";elsif S1="00100" thenS1:="00010";elsif S1="00010" thenS1:="00001";elsif S1="00001" thenS1:="00000";end if;end if;if S1="10000" then t<=X5;elsif S1="01000" then t<=X4;elsif S1="00100" then t<=X3;elsif S1="00010" then t<=X2;elsif S1="00001" then t<=X1;end if;S<=S1;end process;U6:process(t)begincase t iswhen "0000" => r<="0111111";when "0001" => r<="0000110";when "0010" => r<="1011011";when "0011" => r<="1001111";when "0100" => r<="1100110";when "0101" => r<="1101101";when "0110" => r<="1111101";when "0111" => r<="0000111";when "1000" => r<="1101111";when "1001" => r<="1101111";when "1111" => r<="0000000";when others => null;end case;end process;g<=r(6);f<=r(5);e<=r(4);d<=r(3);c<=r(2);b<=r(1);a<=r(0);end arch;(5)输入程序后,存盘,如图3-10所示:图3-10 存盘( 6 ) 点击Processing->Start Compilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图3-11所示:图3-11 编译结果显示3.1.3 波形仿真(1 )建立时序仿真文件,选择“Vector Waveform File”,如图3-12所示:图3-12 建立时序仿真文件(2)出现图3-13的界面,在Name空白处击右键,Insert→Insert Node or Bus 。