四花样彩灯控制器
简易彩灯控制器电路
第一章.系统的方案的设计1.1课程设计的要求1. 要求电路能够控制8个以上的彩灯。
2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。
1.2 课程设计的目的1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。
2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。
3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。
培养了我们的综合分析,解决问题的能力。
4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。
5.培养电子设计的兴趣,有助于我们进一步了解数电课程。
1.3设计思路设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。
1.4 设计框图图1-4把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。
系统可由四个模块组成。
它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。
电路系统由四部分组成:1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟;2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟;3)状态机电路由双D触发器74LS74组成;4)移位显示器由双向移位寄存器74HC194组成。
EDA课程设计 彩灯控制器.
电子设计自动化大作业题目彩灯控制器的设计学院**学院班级电气**学号**********姓名********二O一二年十月三十一日彩灯控制器的设计一、彩灯控制器的设计要求设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。
控制器应有两种控制方式:(1)规则变化。
变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。
(2)随机变化。
无规律任意变化。
二、彩灯控制器的设计原理本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。
流程图如下所示:图 1 彩灯控制器的设计流程图彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。
分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。
当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。
扬声器通过不同的频率控制发出不同的声音。
同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。
通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。
AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。
与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。
本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。
三、程序设计和分析library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;建立设计库和标准程序包实体部分: entity pan isport(clk:in std_logic;clr:in std_logic;speak:out std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(7 downto 0);led_selout:out std_logic_vector(7 downto 0);end entity;实体名为 pan,定义端口,输入端口为 clk 和 clr ,其中 clk 接脉冲信号,clr 接复位端;输出端口 speak 接扬声器,led7s1 接数码管的七段显示部分,led7s 接八个发光二极管,led_selout 接八个数码管的使能端,控制数码管的循环显示。
4路彩灯控制器课程设计数电
电子技术课程设计---彩灯控制器学院:电子信息工程学院班级:姓名学号:指导教师:彩灯控制器一、设计任务与要求:设计一个彩灯控制器,要求:1.四路彩灯从左向右逐次渐亮,间隔为1秒。
2.四路彩灯从右向左逐次渐灭,间隔为1秒。
3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4次。
二、总体框图图(1)总体框图根据设计要求,电路设计大体思路如下:由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制彩灯按照设计要求实现亮灭。
三、选择器件本次课程设计所用器件如表一:表一本次课程设计所用器件1.同步二进制计数器74LS163表二7-3 74LS163功能表输入输出CP EP ET Q↑×0 ××全“L”↑0 1 ××预置数据↑ 1 1 1 1 计数× 1 1 0 ×保持× 1 1 ×0 保持根据逻辑图、波形图、功能表分析,74LS163具有如下功能:管脚图逻辑符号1)1是同步4位二进制加法计数器,M=16,CP上升沿触发2)2既可同步清除,也可异步清除。
同步清除时,清除信号的低电平将在下一个CP上升沿配合下把四个触发器的输出置为低电平。
异步清除时,直接用清除信号的低电平把四个触发器的输出置为低电平。
3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据.当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。
4)PT任一为低时,计数器处于保持状态。
5) 5 CO为进位输出,可用来级联成n位同步计数器。
2.四位双向移位寄存器74LS19474LS194内部原理图74LS194四位双向移位寄存器具有左移、右移、并行数据输入、保持、清除功能。
四花样彩灯控制器课程设计
四花样彩灯控制器课程设计1. 引言彩灯在人们的生活中起到了很重要的作用,特别是在庆祝活动和节日期间。
传统的彩灯只能显示单一的颜色,而现代的彩灯控制器可以实现多种颜色的变化和特效,给人们带来了更加丰富多彩的视觉体验。
本课程设计旨在通过学习四花样彩灯控制器的原理和设计,提高学生的电子电路设计能力和嵌入式系统的应用能力。
2. 学习目标通过本课程的学习,学生将能够: - 了解彩灯控制器的基本原理和工作原理; - 掌握彩灯控制器的硬件设计和电路连接方法; - 学会使用嵌入式系统进行彩灯控制器的编程和调试;- 设计和制作一个四花样彩灯控制器原型。
3. 教学内容3.1 彩灯控制器基础知识•彩灯控制器的概念和基本原理•彩灯控制器的分类和应用场景•彩灯控制器的硬件组成和工作流程3.2 彩灯控制器的硬件设计•彩灯控制器的电路连接方法•彩灯控制器的电源设计•彩灯控制器的按键和显示屏设计3.3 彩灯控制器的编程和调试•嵌入式系统的介绍和基本原理•使用Arduino进行彩灯控制器的编程•彩灯控制器的调试和测试方法3.4 四花样彩灯控制器的设计与制作•四花样彩灯控制器的功能设计•四花样彩灯控制器的电路图设计•四花样彩灯控制器的原型制作和调试4. 教学方法本课程设计采用理论与实践相结合的教学方法。
在理论教学环节,通过教师讲解和课件展示的方式,向学生介绍彩灯控制器的基本原理和设计方法。
在实践环节,学生将分组进行彩灯控制器的硬件设计和编程实验,通过实际动手操作和实验结果的分析,深刻理解彩灯控制器的工作原理和应用技术。
5. 教学评价学生的学习评价主要包括三个方面: - 课堂表现:包括课堂积极性、参与讨论和提问等; - 实验报告:根据实际的硬件设计和编程实验结果,撰写实验报告,评估学生对彩灯控制器的掌握程度; - 设计项目:根据课程要求,学生完成一个四花样彩灯控制器的设计和制作,通过设计方案和实际效果评估学生的设计水平。
6. 参考资料•《嵌入式系统设计与开发技术》•《Arduino编程与应用》•《电子电路设计导论》7. 结语通过本课程设计的学习,学生将能够掌握彩灯控制器的基本原理和设计方法,并能够设计和制作一个四花样彩灯控制器的原型。
四路彩灯控制器电路工作原理
四路彩灯控制器电路工作原理
四路彩灯控制器电路是一种常见的电路,用于控制四个不同颜色的灯光。
它可以通过控制器来实现对灯光的开关、亮度和颜色的调节。
下面我们来了解一下四路彩灯控制器电路的工作原理。
四路彩灯控制器电路主要由三个部分组成:电源部分、控制部分和输出部分。
其中电源部分提供电源,控制部分控制灯光的开关、亮度和颜色,输出部分将控制信号转换为电流输出到灯光上。
电源部分通常采用交流电源或直流电源,通过整流、滤波和稳压等处理,将电源转换为稳定的直流电源,以供控制部分和输出部分使用。
控制部分是四路彩灯控制器电路的核心部分,它通过控制芯片来实现对灯光的控制。
控制芯片通常采用单片机或专用的控制芯片,它们可以通过编程或设置来实现对灯光的控制。
控制芯片可以控制灯光的开关、亮度和颜色,同时还可以实现多种灯光效果,如闪烁、渐变、呼吸等。
输出部分将控制信号转换为电流输出到灯光上。
输出部分通常采用三极管或场效应管等电子元件,它们可以将控制信号转换为电流输出到灯光上,从而实现对灯光的控制。
输出部分还可以通过电阻、电容等元件来实现对灯光的亮度和颜色的调节。
四路彩灯控制器电路是一种常见的电路,它可以通过控制器来实现对灯光的开关、亮度和颜色的调节。
它的工作原理主要由电源部分、控制部分和输出部分组成,通过这三个部分的协作,实现对灯光的精确控制。
四花样彩灯控制器课程设计
四花样彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握四种花样彩灯控制原理,包括亮度调节、颜色变换、闪烁模式和序列控制。
2. 学生能描述常见电子元件(如电阻、电容、二极管等)在彩灯控制器中的作用及相互关系。
3. 学生能运用基础电路知识,分析并解释彩灯控制器电路图。
技能目标:1. 学生能够独立设计并搭建一个简单的四花样彩灯控制电路。
2. 学生通过实际操作,掌握测试和调试电路的技巧,能够解决常见的电路故障。
3. 学生能够运用信息技术工具(如编程软件)对彩灯控制器进行编程,实现个性化效果。
情感态度价值观目标:1. 学生在小组合作中培养团队精神和沟通能力,尊重他人意见,共同完成项目。
2. 学生通过创意设计,激发创新思维,增强解决实际问题的自信心。
3. 学生能够意识到科技与日常生活的紧密联系,培养对电子科技的兴趣和爱好。
本课程针对初中电子技术课程设计,考虑学生年龄特点和认知水平,注重理论知识与实践技能的结合。
课程目标具体明确,可测量,旨在通过动手实践和项目导向学习,提高学生对电子科技的兴趣和实际应用能力。
通过分解课程目标为具体的学习成果,教师可进行有效的教学设计和学习成果评估。
二、教学内容1. 电子元件基础知识:介绍电阻、电容、二极管等基础元件的功能和用途,对应教材第三章第一、二节。
- 电阻的阻值和种类- 电容的充放电特性- 二极管的单向导通原理2. 彩灯控制原理:讲解彩灯的亮度调节、颜色变换、闪烁模式和序列控制技术,对应教材第三章第三节。
- 亮度调节的原理与方法- 颜色变换的电路设计- 闪烁模式和序列控制的实现3. 电路图的识读与分析:学会识读并分析彩灯控制器电路图,对应教材第三章第四节。
- 电路图的常见符号- 彩灯控制器电路图的识读- 电路分析与故障排查4. 实践操作:动手设计并搭建四花样彩灯控制电路,对应教材第三章实验部分。
- 选用合适的电子元件- 搭建电路并进行测试- 调试电路并解决故障5. 编程与控制:运用编程软件对彩灯控制器进行编程,实现个性化效果,对应教材第四章第一节。
四花样彩灯控制器课程设计
四花样彩灯控制器课程设计1. 项目概述本课程设计旨在设计一个四花样彩灯控制器,用于控制灯光的颜色和模式。
通过该控制器,用户可以选择不同的颜色和模式,实现彩灯的灵活变化,为场景创造出不同的氛围和效果。
2. 项目目标本项目的目标是设计一个能够控制四个灯泡的彩灯控制器,实现以下功能:•控制四个灯泡的开关状态;•控制灯泡的颜色;•控制灯泡的闪烁模式和速度;•控制灯泡的亮度。
3. 硬件设计3.1 芯片选择本设计选用Arduino UNO作为主控芯片。
Arduino UNO是一款开源的基于ATmega328P芯片的单板微控制器,广泛用于原型制作和教育领域。
3.2 电路设计根据设计要求,我们需要四个LED灯泡,分别代表四个彩灯。
每个LED灯泡需要一个数字口进行控制,一个模拟口进行亮度调节。
可以通过以下电路连接方式实现控制:四个LED分别通过220欧姆的电阻连接电源的正极,共地接到Arduino UNO的GND 引脚上。
每个LED的控制引脚分别连接到Arduino UNO的数字口2、3、4和5上。
另外,每个LED的亮度控制引脚连接到Arduino UNO的模拟口A0上。
4. 软件设计4.1 软件框架本设计将使用Arduino IDE进行编程。
Arduino IDE是一款简单易用的开发环境,适用于Arduino开发板。
4.2 程序流程下面是程序的主要流程:1.初始化四个灯泡的控制引脚,将其设置为输出模式;2.进入主循环;3.读取用户的输入,包括开关状态、颜色、模式和亮度;4.根据用户输入,控制四个灯泡的状态、颜色和亮度;5.延时一段时间后回到步骤3。
4.3 程序代码以下是程序的示例代码:// 定义LED灯泡的引脚const int ledPins[] = {2, 3, 4, 5};// 定义LED灯泡的亮度控制引脚const int brightnessPin = A0;void setup() {// 初始化LED灯泡的引脚for (int i = 0; i < 4; i++) {pinMode(ledPins[i], OUTPUT);}}void loop() {// 读取用户的输入,包括开关状态、颜色、模式和亮度int switchStatus = digitalRead(SWITCH_PIN);int color = analogRead(COLOR_PIN);int mode = analogRead(MODE_PIN);int brightness = analogRead(brightnessPin);// 控制LED灯泡的状态、颜色和亮度for (int i = 0; i < 4; i++) {digitalWrite(ledPins[i], switchStatus);}analogWrite(brightnessPin, brightness);// 延时一段时间delay(100);}5. 测试与验证为了验证设计的正确性和可行性,需要进行以下测试:•测试开关功能:分别观察每个LED灯泡的状态,在不同的开关输入下进行验证;•测试颜色功能:通过改变颜色输入的模拟值,观察LED灯泡的颜色是否变化,验证颜色控制的正确性;•测试闪烁模式和速度:通过改变模式输入的模拟值,观察LED灯泡的闪烁效果,验证闪烁模式和速度的控制是否正常;•测试亮度功能:通过改变亮度输入的模拟值,观察LED灯泡的亮度变化,验证亮度控制的正确性。
彩灯控制器设计
目 录1 EDA简述 (1)1.1EDA介绍 (1)1.2硬件描述语言VHDL (1)1.3设计背景 (1)2 设计目的及设计要求 (2)2.1设计要求 (2)2.2设计目的 (2)3 设计原理 (2)3.1方案设计 (2)3.2模块设计 (3)3.2.1 32进制计数器模块 (3)3.2.2 集成分频器模块 (3)3.2.3 4进制计数器模块 (4)3.2.4 四选一选择器模块 (5)3.2.5 彩灯控制器模块 (6)3.3系统结构 (7)3.4彩灯控制器的管脚图 (8)4 实验结果和总结 (8)4.1实验结果 (8)4.2总结 (9)参考文献 (11)附录 (12)32进制计数器模块程序 (12)分频器模块程序 (12)4进制计数器模块程序 (14)4选1选择器程序 (15)彩灯控制模块程序 (16)1 EDA简述1.1 EDA介绍《EDA技术课程设计》注重实践操作和应用能力的培养,对每个设计案例都详细地阐述了系统设计的要求、系统设计方案、VHDL源程序和系统仿真波形图,且所有给出的程序均经过调试,确保设计的正确性。
《EDA技术课程设计》可供高等院校的电子信息工程、通信工程、自动化、仪器仪表、计算机及相关专业的本科生或专科生使用,特别适合作为EDA技术课程的实验、课程设计、综合实践和电子设计竞赛的指导教材,同时也可作为从事EDA技术应用与开发的工程技术人员的设计参考书。
1.2 硬件描述语言VHDLVHDL的全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。
1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。
VHDL语言是一种用于电路设计的高级语言,主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。
VHDL花样彩灯控制器设计
《EDA技术》课程实验报告学生姓名:所在班级:电信1001指导教师:记分及评价:一、实验名称实验8:花样彩灯控制器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,设计一花样彩灯控制器,实现对实验箱8个LED发光二极管的显示控制,至少4种以上的花样。
2、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
【发挥部分】5分实现不同的花样播放不同的背景音乐的功能。
三、实验程序【基本部分】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CECAIDENG ISPORT(CLK,RST:IN STD_LOGIC;SEL:IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END CECAIDENG;ARCHITECTURE COLOR OF CECAIDENG ISTYPE STATE_1 IS(S0,S1,S2,S3,S4,S5,S6,S7);SIGNAL STATE_2:STATE_1;BEGINPR_1:PROCESS(CLK,RST)BEGINIF RST='1'THEN STATE_2<=S0;ELSIF(CLK'EVENT AND CLK='1')THENCASE STATE_2 ISWHEN S0=> STATE_2 <=S1;WHEN S1=> STATE_2 <=S2;WHEN S2=> STATE_2 <=S3;WHEN S3=> STATE_2 <=S4;WHEN S4=> STATE_2 <=S5;WHEN S5=> STATE_2 <=S6;WHEN S6=> STATE_2 <=S7;WHEN S7=> STATE_2 <=S0;END CASE;END IF;END PROCESS PR_1;PR_2:PROCESS(SEL,STATE_2)BEGINIF SEL="000"THEN CASE STATE_2 ISWHEN S0=> Y <="10000000";WHEN S1=> Y <="01000000";WHEN S2=> Y <="00100000";WHEN S3=> Y <="00010000";WHEN S4=> Y <="00001000";WHEN S5=> Y <="00000100";WHEN S6=> Y <="00000010";WHEN S7=> Y <="00000001";END CASE;ELSIF SEL="001" THENCASE STATE_2 ISWHEN S0=> Y <="10000000";WHEN S1=> Y <="11000000";WHEN S2=> Y <="11100000";WHEN S3=> Y <="11110000";WHEN S4=> Y <="11111000";WHEN S5=> Y <="11111100";WHEN S6=> Y <="11111110";WHEN S7=> Y <="11111111";END CASE;ELSIF SEL="010" THENCASE STATE_2 ISWHEN S0=> Y <="10000001";WHEN S1=> Y <="01000010";WHEN S2=> Y <="00100100";WHEN S3=> Y <="00011000";WHEN S4=> Y <="00100100";WHEN S5=> Y <="01000010";WHEN S6=> Y <="10000001";WHEN S7=> Y <="11111111";END CASE;ELSIF SEL="011" THENWHEN S0=> Y <="00000000"; WHEN S1=> Y <="11111111"; WHEN S2=> Y <="00000000"; WHEN S3=> Y <="11111111"; WHEN S4=> Y <="00000000"; WHEN S5=> Y <="11111111"; WHEN S6=> Y <="00000000"; WHEN S7=> Y <="11111111"; END CASE;ELSIF SEL="100" THENCASE STATE_2 ISWHEN S0=> Y <="10000001"; WHEN S1=> Y <="11000011"; WHEN S2=> Y <="11100111"; WHEN S3=> Y <="11111111"; WHEN S4=> Y <="00100100"; WHEN S5=> Y <="11000011"; WHEN S6=> Y <="10000001"; WHEN S7=> Y <="11111111"; END CASE;ELSIF SEL="101" THENCASE STATE_2 ISWHEN S0=> Y <="10000000"; WHEN S1=> Y <="11000000"; WHEN S2=> Y <="11100000"; WHEN S3=> Y <="11110000"; WHEN S4=> Y <="00000001"; WHEN S5=> Y <="00000011"; WHEN S6=> Y <="00000111"; WHEN S7=> Y <="00001111"; END CASE;ELSIF SEL="110" THENCASE STATE_2 ISWHEN S0=> Y <="11110000"; WHEN S1=> Y <="00001111"; WHEN S2=> Y <="00000000"; WHEN S3=> Y <="11111111"; WHEN S4=> Y <="00001111"; WHEN S5=> Y <="11110000"; WHEN S6=> Y <="11011011"; WHEN S7=> Y <="11111111"; END CASE;ELSIF SEL="111" THENWHEN S0=> Y <="01010101";WHEN S1=> Y <="10101010";WHEN S2=> Y <="00000000";WHEN S3=> Y <="11111111";WHEN S4=> Y <="00100100";WHEN S5=> Y <="11011011";WHEN S6=> Y <="00001111";WHEN S7=> Y <="11111111";END CASE;END IF;END PROCESS PR_2;END COLOR;设计思路:这次实验是采用文本输入设计方法,通过编写VHDL语言程序,设计一花样彩灯控制器,实现对实验箱8个LED发光二极管的显示控制,因为要设计出不同的花样,所以要利用典型摩尔状态机来实现。
四路彩灯控制器的课程设计
一、设计内容与设计要求用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:1、开机自动置入初始状态后即能按规定的程序进行循环显示。
2、程序由三个节拍组成:第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。
第二节拍时,Q4~Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。
第三节拍时,Q1~Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。
每个节拍费时都为4秒,执行一次程序共需12秒3、用发光二极管显示彩灯系统的各节拍;二、设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7400实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。
第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。
可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。
图多谐振荡器12进制循环控制器16进制分频计数器移位计数器74LS191彩灯显示输出四、单元电路设计(1)时钟脉冲产生电路用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲。
具体实现为:74LS161控制74LS161模十六计数器,构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲(2)循环控制电路用74LS161和74LS00和方波电源构成循环控制电路具体实现:如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。
数字电子技术课程设计——四花样彩灯控制器
一、设计要求1.1 设计课题及要求(一)题目:四花样彩灯控制器(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1)彩灯一亮一灭,从左向右移动;(2)彩灯两亮两灭,从左向右移动;(3)四亮四火,从左向右移动(4)从1〜8从左到右逐次点亮,然后逐次熄灭;(5)四种花样自动变换。
555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04, 四选一数据选择器74LS153,八位移位寄存器74LS164。
二、系统组成及工作原理2.1 系统组成框图把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。
系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。
设计框图如图 2.1所示:由两个555构成两个时钟电路,由模十六计数器和组合逻辑门构成四种码产生电路,由双 D 触发器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六计数器和移位寄存器,另一个时钟控制双D触发器。
2.2工作原理分析从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。
调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。
多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。
多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。
这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA QB QC QD四个分频信号。
其作用相当于一个受控的一刀四位的机械转换开关。
当双D触发器输出为“ 00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“ 10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“ 01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“ 11”时数据选择器输出1111111100000000 序列脉冲,为十六分频信号,实现花样四。
数字电路课程设计之四花样彩灯控制器
课程设计说明书课程设计名称:数字电路课程设计课程设计题目:四花样彩灯控制器学院名称:信息工程学院专业:班级:学号:姓名:评分:教师:20 年月日数字电路课程设计任务书20 12 -20 13 学年第一学期第 6 周-7 周题目四花样彩灯控制器内容及要求〖基本要求〗设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动(2) 彩灯两亮两灭,从左向右移动(3) 四亮四灭,从左向右移动(4) 从1~8从左到右逐次点亮,然后逐次熄灭(5) 四种花样自动变换。
〖主要参考元器件〗555,74LS93,74LS74,74LS153,74LS164进度安排1.布置任务、查阅资料、选择方案,领仪器设备:2天;2. 领元器件、制作、焊接:3天3.调试+验收:2.5天4. 提交报告:2012-2013学年第一学期9~13周学生姓名:、指导时间:第6~7周指导地点: E 楼、室任务下达2012 年、月、日任务完成20 12 年、月、日考核方式 1.评阅□ 2.答辩□ 3.实际操作□√ 4.其它□指导教师、系(部)主任、注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。
2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。
目录前言……………………………………………………………………………………、第一章设计要求………………………………………………………………………、1.1 设计课题及要求…………………………………………………………、第二章系统组成及工作原理………………………………………………………、2.1 系统组成框图………………………………………………………………、2.2 工作原理分析……………………………………………………………、第三章电路方案设计…………………………………………………………………、3.1 电路图设计…………………………………………………………………、3.2 方案比较…………………………………………………………………、第四章单元电路设计与计算……………………………………………………、4.2 四种码产生电路…………………………………………………………、4.3 彩灯自动转换控制电路……………………………………………………、4.4 花样输出电路………………………………………………………………、第五章实验、调试及测试结果分析……………………………………………、结论…………………………………………………………………………………、参考文献…………………………………………………………………………、、、第一章设计要求1.1 设计课题及要求(一)题目:四花样彩灯控制器(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现(1) 彩灯一亮一灭,从左向右移动;(2) 彩灯两亮两灭,从左向右移动;(3) 四亮四灭,从左向右移动;(4) 从1~8从左到右逐次点亮,然后逐次熄灭;(5) 四种花样自动变换。
四花样彩灯控制器电路
电路工作原理从ICl⑧脚出来的脉冲信号分为两路:一路作为计数脉冲送到IC3的⑩脚;另一路作为移位时钟脉冲加到IC6的⑧脚。
调节RWl 改变ICl的振荡频率,可以改变灯光的移动速度,以得到不同的动态效果。
IC2、IC4、IC5共同组成了一个电子开关。
IC2输出的
计数脉冲经IC4两位二进制计数,在IC4的两个输出端共可得到“00”一“11”4个逻辑状态。
这4个状态作为IC5的4个数据通道选择信号,对应从IC3输送到IC5的QA、QB、QC、QD4个分频信号。
其作用相当于一个受控的一刀四位的机械转换开关。
当IC4输出为“00”时,选通IC5的⑧脚;为“01”时,选定IC5的⑤脚……。
调节RW2改变IC2的输出脉冲周期,可以改变开关的切换时间,用以选择每种花样出现时间的长短。
从IC5第⑦脚输出的数据信号送到IC6的输入端,在时钟脉冲作用下,数据在IC6的8位并行输出端从Q0一Q7顺序移动。
这一移动的8位控制信号经功率驱动电路去推动8路彩灯,就出现了8路4花样自动循环切换的流水彩灯。
元件选择图1中,变压器用220/9V、10—20VA变压器。
三极管用9013,双向可控硅用3A600V的了LC336A,每路可带20只220V15W的白炽灯泡。
印刷电路见图2。
在实际制作中,注意交流220V市电的相线(火线)和中线(零线)必须严格区分。
火线不能进入控制器,零线进入控制器后,与双向可控硅的地就近相接。
(完整版)四花样彩灯控制器--毕业课程设计
摘要彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。
本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。
本次课程设计要设计一个四花样彩灯控制器。
首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。
可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。
根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。
要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。
时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。
当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。
经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。
关键字:时钟脉冲分频自动转换数据选择器目录前言 (1)第一章设计要求 (2)1.1 设计课题及要求 (2)第二章系统组成及工作原理 (3)2.1 系统组成框图 (3)2.2 工作原理分析 (3)第三章电路方案设计 (5)3.1 电路图设计 (5)3.2 方案比较 (5)第四章单元电路设计与计算 (8)4.1 555时钟脉冲产生电路 (8)4.2 四种码产生电路 (9)4.3 彩灯开关电路 (11)4.4 花样输出电路 (13)4.5 各芯片管脚图 (14)第五章实验、调试及测试结果分析 (16)5.1 结果的调试及分析 (16)结论………………………………………………………………………… (17)参考文献 (18)附录 1 花样彩灯控制器的原理总图 (19)附录 2 元器件清单 (20)前言彩灯控制器有着非常广泛的运用,如:LED彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下:随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。
四路彩灯控制器电路工作原理
四路彩灯控制器电路工作原理四路彩灯控制器是一种常见的电路装置,它能够实现灯光的四种切换,可以使室内灯光的效果更加多样化,提升家居生活的品质。
这篇文章将会为大家解析四路彩灯控制器电路的工作原理。
1. 基本原理四路彩灯控制器可以控制四盏灯的亮灭,而其工作原理主要依靠三极管的放大作用和继电器的开合作用。
在电路中,通过对不同的引脚进行不同的接线方式,实现控制不同的开关状态,进而控制灯光的显示和隐藏。
2. 电路组成该电路由电源、电阻、电容、三极管、继电器和四个按键组成。
其中,电源提供电流和电压,将电能转换为光能,以此点亮灯光。
电阻的作用是限制电流的大小,保证电路的稳定性。
电容则可以平滑电源的波动,确保线路中的电压不会出现过大或者过小的情况。
三极管的放大作用是使电路的信号扩大,从而对接下来的元器件发挥更好的控制作用。
而继电器则是用于进行继电器的开闭功能,实现对灯光开闭状态的控制。
3. 电路控制原理当任意一个按键被按下,将会形成一条电流流动的通路,从而进入继电器和三极管的管脚,通过控制电路的导通和截止状态,实现控制灯光的状态。
当S1按键被按下,通路被打开,发生电流流动,当前路的继电器“K1”也被打开,电路中的灯光被点亮。
当S2按键被按下,通路被打开,发生电流流动,第二路的继电器“K2”被打开,电路中的对应灯光点亮,其他同理。
当S3按键被按下,通路被打开,发生电流流动,需要同时打开“K1”和“K3”继电器,从而实现第一路和第三路的灯光同时点亮的功能。
总之,通过不同按键组合的方式,可以达到四种灯光的控制变化,以满足家居生活的需要。
4. 总结综上所述,四路彩灯控制器的工作原理主要依靠三极管的放大和继电器的开合功能,实现对灯光开闭状态的控制。
通过不同按键的组合,可以实现不同的灯光显示效果,为家居生活提供更多的多样性。
这种电路使用简单,成本低廉,效果显著,可以广泛应用于家居、宾馆、餐厅等场所,是一种非常实用的电路装置。
EDA技术实验10-彩灯控制器设计与实现
ENTITY counter_4 IS
PORT
(
clk,rst
: IN
std_logic;
count_out
: OUT integer range 0 to 3 );
std_logic
END xzq4_1; ARCHITECTURE a OF xzq4_1 IS
BEGIN PROCESS (rst,inp) BEGIN
if(rst='1') then output<='0';
else
case inp is
when 0=>output<=in1;
when 1=>output<=in2;
count_out<=temp;
END PROCESS;
17
END a;
-------------------------------------------4进制计数器模块----------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
if clk'event and clk='1'then
if b>=5 then
b:=0;
clk_6<='1';
else
b:=b+1;
clk_6<='0';
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;
彩灯控制器的使用方法
彩灯控制器的使用方法
使用彩灯控制器来制作节日氛围
随着科技的发展,彩灯控制器已经普及到家庭当中,它不仅仅可以帮助我们制作出漂亮的彩灯,还可以用来制作节日氛围。
彩灯控制器的操作非常简单,只需要将所有的彩灯插入电源,并将控制器插入电源,然后打开控制器的电源开关,就可以开始制作节日氛围了。
控制器上有一个按钮,可以调节彩灯的颜色和亮度,我们可以调节彩灯的颜色,如红色、绿色、蓝色等,以及彩灯的亮度,调节彩灯的亮度可以让节日氛围更加美丽。
控制器上还有一个时间调节按钮,可以设置彩灯的运行时间,我们可以根据节日的时间,设置彩灯的运行时间,从而让节日氛围更加完美。
我们可以将彩灯布置在家里,可以悬挂在墙上,也可以放在桌子上,或者是沙发上,让家里的空间更加明亮活泼,让节日的氛围更加浓厚。
使用彩灯控制器来制作节日氛围是非常有效的,不仅可以节约我们的时间,而且可以让节日的氛围更加完美。
4路彩灯控制电路 论文
四路循环彩灯控制器摘要通过对数字电子技术课程所学的基础理论知识的认识、了解与掌握。
本设计将采用几个基本的数字集成的74系列(74LS93,74LS153,555)芯片来完成所需要的数字逻辑显示功能。
设计过程中,先进行单元电路的设计,再进行总体方案的设计,通过几个方案的对比,得出最佳方案来设计总电路图。
用中规模集成电路实现的彩灯控制电路主要用计数器,触发器,数据选择器和移位寄存器等集成。
本次设计的循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。
一、设计任务与要求1、任务用数字集成器设计一款多路循环彩灯控制器,其中彩灯用发光二极管模拟2、基本要求彩灯控制器,能控制8路彩灯完成4种花样的循环变换:(1)彩灯一亮一灭,从左向右移动;(2)彩灯两亮两灭,从左向右移动;(3)彩灯4亮4灭,从左向右移动;(4)各路彩灯从左向右逐路全部点亮后,又从右向左逐路熄灭二、所有使用的元件1.设计所需的元件:74LS93N(四位二进制加法计数器)----------------- 1个;74HC164N(单向移位寄存器) --------------------------1个;74HC153(双4选1数据选择器)------------------1个;74LS74(双D触发器)--------------------------1个;双色发光二极管--------------------------------------- 8个;NPN型三极管(9013)---------------------------------8个555定时器-----------------------------------2个;电容:0.01μf(涤纶电容)----------------------------------2个;0.1μf(电解电容)---------------------------------2个;电阻:1kΩ---------------------------------- 8个;510Ω-------------------------------8个;30kΩ---------------------------------2个;2MΩ-------------------------------1个;1MΩ---------------------------------1个;(粗调)电位器:2M---------------------------------1个1M---------------------------------1个万能板一个;万用表一个;导线若干;三、方案设计与单元设计近年来,由于中,大规模集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。
四路彩灯控制器电路设计1
四路彩灯控制器电路设计1一、设计背景随着技术的发展,彩灯在人们的生活中扮演着越来越重要的角色。
而控制彩灯的变化模式、颜色、频率等功能的彩灯控制器也因此得到了广泛的应用。
本文介绍了一种基于单片机的四路彩灯控制器电路设计方案。
二、电路设计1.控制器整体方案本控制器采用了基于单片机的设计方案,整个系统分为控制器主板和四路输出板两部分。
主板的任务是采集用户的操作信息,控制输出板的状态。
主板使用ST公司的STM32F103VET6单片机,有良好的性能和工作效率。
同时,主板还安装了一个12864点阵液晶屏幕,以实时监测运行状态。
2.主控芯片选型经过多次筛选,本设计方案选用了ST公司的STM32F103VET6单片机作为主控芯片。
该单片机采用了ARM Cortex-M3内核,最高主频可达72MHz,拥有丰富的外设接口,特别是具备了大容量的FLASH存储和SRAM存储器,使得它能够满足本控制器对于高速和大容量数据处理的需求。
3.控制方法用户通过按键控制,选择不同的模式,可以实现彩灯的不同效果。
并且,通过对LED 灯电路的控制,可以实现彩灯的不同颜色或频率。
同时,本控制器还支持通过遥控器或手机APP实现远程控制。
4.输出接口设计本控制器的输出模式采取PWM调制方式,可以控制LED灯的亮度和灯光的闪烁频率。
同时,通过四路输出板,可以实现四个LED灯的控制,具备了较高的扩展性。
5.电路保护设计进行电路保护设计是有效防止单片机和LED灯等元器件受到损坏的重要措施。
本设计方案采用了电流限制电路、过压保护电路和过流保护电路等多种保护措施,从而可以确保整个系统的稳定性和安全性。
三、总结评价本文介绍的四路彩灯控制器电路设计方案采用了基于单片机的设计思路,具备了高速、高效、低功耗等多种优点。
通过对多种保护措施的引入,可以有效保护电路的性能,使得整个系统稳定可靠。
同时,该设计方案还支持多种控制方式,方便用户进行操作。
因此,本方案具有重要的现实意义和应用价值,具有广阔的市场前景和发展潜力。
单片机课程设计:彩灯控制器的设计
黄河科技学院课程设计任务书工学院机械系机械设计制造及其自动化专业09 级1 班学号姓名朱施泽指导教师郭晓君题目: 彩灯控制器的设计课程:单片机课程设计课程设计时间 2012年12月21 日至2012年1 月3日共 2 周课程设计工作内容与基本要求(设计要求、设计任务、工作计划、所需相关资料)(纸张不够可加页)1.设计要求利用AT89C51单片机作为微控制器,用16盏以上的LED小灯,实现至少4种彩灯灯光效果(不含全部点亮,全部熄灭);可以用输入按钮在几种灯光效果间切换;可以通过按钮暂停彩灯效果,使小灯全亮,再次按下相同按钮后继续之前的效果。
2. 设计任务与要求2.1系统硬件电路设计根据该系统设计的功能要求选择所用元器件,设计硬件电路。
要求用Proteus绘制整个系统电路原理图。
2.2软件设计根据该系统要求的功能进行软件设计,绘制整个系统的软件流程图;根据流程图编写程序并汇编调试通过;列出软件清单,软件清单要求逐条加以注释。
2.3 Proteus仿真用Proteus对系统进行仿真并进行软硬件调试。
2.4 编写设计说明书内容包括任务书、设计方案分析、硬件部分设计、软件部分设计、调试结果整理分析、设计调试的心得体会等,字数不少于4000字;硬件部分设计要绘制整个系统电路原理图,对各部分电路设计原理做出说明;软件设计部分要绘制整个系统及各部分的软件流程图,列出程序清单,逐条加以注释,并在各功能块前加程序功能注释。
3.工作计划4.主要参考资料单片机课程设计指导书皮大能北京理工大学出版社2010.78051单片机实践与应用吴金戎清华大学出版社2003.8单片机技术基础教程与实践夏路易电子工业出版社2008.1MCS-51单片机原理接口及应用王质朴北京理工大学出版社2009.11基于Proteus的单片机系统设计与仿真实例蒋辉平机械工业出版社 2009.7指导老师签字:日期:目录1 系统硬件设计 (4)1.1 电路设计 (4)1.2 主要元器件介绍 (5)1.2.1 AT89c51单片机芯片介绍 (5)1.2.2 主要特性 (5)2 系统软件设计 (7)2.1 综述 (7)2.2 主程序及流程图 (7)2.2.1 主程序 (7)2.3 子程序的编程思路 (8)2.3.1延迟子程序流程图 (9)3 系统调试与仿真分析 (11)3.1 软件调试 (11)3.1.1 单片机C语言 (11)3.1.2 Keil uVision2 (11)3.1.3 Proteus (12)3.2 软件仿真结果及分析 (13)3.2.1闪光效果一:单灯向里移动 (13)3.2.2闪光效果二:流水左移 (14)3.2.3闪光效果三:3灯走马右移 (15)3.2.4闪光效果三:红色流水内外移动 (16)4 致谢 (17)5 参考文献 (18)附录程序代码 (18)1 系统硬件设计整个系统以AT-89C51单片机作为主控核心,与发光二极管LED等较少的辅助硬件电路相结合,利用软件实现对LED彩灯进行控制。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计说明书课程设计名称:数字电路课程设计课程设计题目:四花样彩灯控制器学院名称:信息工程学院专业:通信工程班级:********* 学号:*********** 姓名:******* 评分:教师:******20 年月日数字电路课程设计任务书20 -20 学年第学期第周-周题目四花样彩灯控制器内容及要求1)彩灯一亮一灭,从左向右移动;2)彩灯两亮两灭,从左向右移动;3)四亮四灭,从左向右移动;4)从1~8从左到右逐次点亮,然后逐次熄灭;5)四种花样自动变换。
进度安排学生姓名:指导时间:指导地点:任务下达****年**月**日任务完成****年**月**日考核方式 1.评阅□√ 2.答辩□ 3.实际操作□√ 4.其它□指导教师**** 系(部)主任****注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。
2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。
摘要本次课程设计应用了彩灯控制器的原理,主要采用74LS93模十六计数器和555多谐振荡器,实现了四花样自动循环变换。
首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。
可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。
根据四种花样来确定这四种码,而这四种码可通过模十六计数器74LS93产生。
要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。
时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS93和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。
当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。
关键词:彩灯控制器、移位寄存器、数据选择器、时钟脉冲、自动转换前言 (1)第一章设计内容及要求 (2)1.1 设计内容 (2)1.2 设计要求 (2)1.3 主要参考元器件 (2)第二章系统组成及工作原理 (3)2.1 系统组成框图 (3)2.2 工作原理分析 (3)第三章硬件电路设计方案 (5)3.1 方案一 (5)3.2 方案二 (6)3.3 单元电路设计,参数计算及器件选择 (7)3.3.1 时序脉冲电路 (7)3.3.2 四种码产生电路 (9)3.3.3 彩灯自动转换电路 (12)3.3.4 整机电路分析 (15)第四章软件设计仿真 (16)4.1 电路仿真图 (16)第五章实验、调试和测试结果与分析 (19)5.1 电路的焊接和安装 (19)5.2 电路的调试 (19)第六章结论 (22)参考文献 (23)附录A 花样彩灯控制器原理总图 (24)附录B 各个芯片的管脚功能图 (25)附录C 元器件清单 (26)彩灯控制器有着非常广泛的运用,如:LED彩灯,音乐彩灯控制器,二维彩灯控制器等等。
随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。
LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程,用彩灯来装饰街道和城市建筑物已经成为一种时尚。
二维彩灯控制器可控制五路彩灯逐行递增点亮,再逐行递减熄灭。
若将一定数量的彩色灯组合联接,就能营造出平面上色彩变化的场景,这比通常控制一条线上的色彩流动更加丰富绚丽。
控制器采用数字集成块,外围元器件少、电路结构简单,只要元器件完好、装接无误,装后无须调试即可一举成功。
音乐彩灯控制器是专用于卡拉ok厅 KTV包房的彩灯控制设备,其最大优点是不与电视音响等设备有任何连接,本设备通过检测包房里的环境音频信号强弱来控制通过彩灯的电流大小(即亮暗程度)来烘托娱乐的兴趣的目的,也就是随着声音的大小而使彩灯闪烁,歌声和彩灯一起跳动,从而让唱歌人激情高涨,留连忘返。
本次实验主要研究的是四花样彩灯控制器,应用的是数字逻辑电路的有关知识,是进行复杂设计的基础,对进行复杂彩灯设计具有指导意义。
第一章设计内容及要求1.1 设计内容设计一四花样自动切换的彩灯控制器。
1.2 设计要求(1)彩灯一亮一灭,从左向右移动。
(2)彩灯两亮两灭,从左向右移动。
(3)四亮四灭,从左向右移动。
(4)从1~8从左到右逐次点亮,然后逐次熄灭。
(5)四种花样自动变换。
1.3 主要参考元器件芯片:555定时器,模十六计数器74LS93,双D触发器74LS74,四选一数据选择器74LS153,八位移位寄存器74LS164。
第二章系统组成及工作原理2.1 系统组成框图图2.1 系统组成框图各模块的组成及功能分析:1.时钟电路:由两个555和电阻电容组成,构成两个多谐振荡器,一个周期为0.721秒,控制计数器和寄存器,另一个周期为14.01秒,控制双D触发器。
2.四种码产生电路:由模十六计数器74LS93产生四种码。
3.自动转换控制电路:由双D触发器74LS74和四选一数据选择器74LS153组成,双D的两输出端接数据选择器的地址输入端,它能产生两位循环二进制码,每改变一种状态,数据选择器选择一种码输出,使彩灯花样自动循环。
4.数据输出电路:由八位移位寄存器74LS164和八个彩灯组成,选择输出的每一种码输入到寄存器的数据输入端,使码在寄存器的八个输出端自左向右移动,实现彩灯的花样。
2.2 工作原理分析从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。
调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。
多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。
多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。
这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。
其作用相当于一个受控的一刀四位的机械转换开关。
当双D触发器输出为“00”时,数据选择器输出10000000序列脉冲,为八分频信号,实现花样一;为“10”时,数据选择器输出11000000序列脉冲,为八分频信号,实现花样二;为“01”时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11”时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。
调节开关电路的CP脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。
数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。
移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。
第三章硬件电路设计方案3.1 方案一由低电平用多谐振荡器的输出端作为双D触发器的时钟。
计数器每计八个数,QD变为高电平,双D触发器的状态改变,四选一数据选择器74LS153选择下一种码输出,彩灯变为另一种花样,以后四种花样循环改变。
如图3.1所示。
图3.1 方案一由两个多谐振荡器输出脉冲信号,从一个多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器的时钟脉冲CP端;数据从74LS161十六位计数器的输出端QA,QB,QC,QD输出,经过与门和非门用来控制和改变74LS161输出的数据,最终输入到四选一数据选择器74LS153的数据输入端1C0,1C1,1C2,1C3。
另一路做为移位时钟脉冲加到移位寄存器的时钟脉冲信号输入端。
调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。
从另一个多谐振荡器出来的脉冲信号,输出到双D触发器的时钟信号输入端,由双D触发器实现四分频,用双D触发器的输出端Q1、Q2控制选择器的地址输入端,使数据选择器自动选择一种码输出,实现彩灯花样的自动控制。
然后,从输出端输出到四选一选择器的输入端A,B。
从四选一选择器的输出端输出到八位寄存器的输入端,寄存器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行输出端从QA向QH移动,输出四种彩灯花样。
此方案会使彩灯花样一(或花样二)每次循环时只有一个(或两个)灯亮,符合设计要求。
3.2 方案二彩灯控制器电原理图如图3.2所示。
该电路由两个NE555接成多谐振荡器, 4位2进制计数器74LS93接成16进制计数器,其4个输出端可分别输出对计数脉冲的2、4、8、16分频信号,双D触发器74LS74接成两位2进制加法计数器,双4选l 数据选择器74LSl53只用其一组4选1数据通道,3位单向移位寄存器74LSl64是产生移动灯光信号的核心器件。
如图3.2所示。
图3.2 方案二从上面A2的OUT端出来的脉冲信号分为两路:一路作为计数脉冲送到U3的14脚;另一路作为移位时钟脉冲加到U1的8脚。
调节R2改变A2的振荡频率,可以改变灯光的移动速度,以得到不同的动态效果。
A1、U2A、U2B共同组成了一个电子开关。
A1输出的计数脉冲经U2A、U2B两位二进制计数,在U2A、U2B的两个输出端共可得到“00”~“11”4个逻辑状态。
这4个状态作为U4的4个数据通道选择信号,对应从A2输送到U3的QA 、QB、QC、QD4个分频信号。
其作用相当于一个受控的一刀四位的机械转换开关。
当U2A、U2B输出为“00”时,选通U4的6脚;为“01”时,选定U4的5脚;为“10”时,选定U4的4脚;为“11”时,选定U4的3脚。
调节R5改变A1的输出脉冲周期,可以改变开关的切换时间,用以选择每种花样出现时间的长短。
从U4的7脚输出的数据信号送到U1的输入端,在时钟脉冲作用下,数据在U1的8位并行输出端从Q0一Q7顺序移动。
这一移动的8位控制信号经功率驱动电路去推动8路彩灯,就出现了8路4花样自动循环切换的流水彩灯。
此方案会使彩灯花样一(或花样二)每次循环时依次有一个(或两个)灯亮,每次总共有四个灯亮,也符合设计要求。
方案比较:方案一和方案二在花样一和花样二的功能上虽然不尽相同,但都基本符合设计要求,然而方案一相对来说所用元件比方案二更多,电路更为复杂,所以选用方案二。
3.3 单元电路设计,参数计算及器件选择3.3.1 时序脉冲电路时钟脉冲产生电路由NE555定时器、两个电阻和两个电容构成。
555定时器是一种多用途的数字模拟混合集成电路,利用它可以方便的构成施密特触发器、单稳态触发器和多谐振荡器,由于使用灵活、方便,所以555定时器再波形的产生与变换、测量与控制等多种领域都得到广泛应用。