数字电路与系统设计(张顺兴)第六章习题答案

合集下载

数字电路答案第六章

数字电路答案第六章

第六章可编程逻辑器件PLD可编程逻辑器件PLD是由用户借助计算机和编程设备对集成电路进行编程,使之具有预定的逻辑功能,成为用户设计的ASIC芯片。

近年来,可编程逻辑器件从芯片密度上、速度上发展相当迅速,已成为集成电路的一个重要分支。

本章要求读者了解PLD器件的工作原理,掌握用可编程逻辑器件设计数字电路的方法。

为掌握使用电子设计自动化和可编程逻辑器件设计电路系统的后续课程打下良好的基础。

第一节基本知识、重点与难点一、基本知识(一)可编程逻辑器件PLD基本结构可编程逻辑器件PLD包括只读存储器ROM、可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL和可擦写编程逻辑器件EPLD等。

它们的组成和工作原理基本相似,其基本结构由与阵列和或阵列构成。

与阵列用来产生有关与项,或阵列把所有与项构成“与或”形式的逻辑函数。

在数字电路中,任何组合逻辑函数均可表示为与或表达式,因而用“与门-或门”两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件(触发器)构成的,因而PLD的“与或”结构对实现数字电路具有普遍意义。

(二)可编程逻辑器件分类1.按编程部位分类PLD有着大致相同的基本结构,根据与阵列和或阵列是否可编程,分为三种基本类型:(1)与阵列固定,或阵列可编程;(2)与或阵列均可编程;(3)与阵列可编程,或阵列固定。

2.按编程方式分类(1)掩膜编程;(2)熔丝与反熔丝编程;(3)紫外线擦除、电可编程;(4)电擦除、电可编程;(5)在系统编程(Isp)。

(三)高密度可编程逻辑器件HDPLD单片高密度可编程逻辑器件HDPLD(High Density Programmable Logic Device)芯片内,可以集成成千上万个等效逻辑门,因此在单片高密度可编程逻辑器件内集成数字电路系统成为可能。

HDPLD器件在结构上仍延续GAL的结构原理,因而还是电擦写、电编程的EPLD 器件。

《数字电路-分析与设计》1--10章习题及解答(部分)_北京理工大学出版社

《数字电路-分析与设计》1--10章习题及解答(部分)_北京理工大学出版社

第五章习题5-1 图题5-1所示为由或非门组成的基本R-S 锁存器。

试分析该电路,即写出它的状态转换表、状态转换方程、状态图、驱动转换表和驱动方程,并画出它的逻辑符号,说明S 、R 是高有效还是低有效。

解:状态转换表:状态转换驱动表5-2 试写出主从式R-S 触发器的状态转换表、状态转换方程、状态图、驱动转换表和驱动方程,注意约束条件。

解:与R-S 锁存器类似,但翻转时刻不同。

5-3 试画出图5.3.1所示D 型锁存器的时序图。

解:G=0时保持,G=1时Q=D 。

图题5-1 或非门组成的基本R-S 锁存器S R状态转换方程:Q n+1Q n+1=S+RQ n状态转换图: S =Q n+1R=Q n+1 状态转换驱动方程: 逻辑符号: 输入高有效 G D Q图题5-3 D 型锁存器的时序图5-4试用各种描述方法描述D锁存器:状态转换表、状态转换方程、时序图、状态转换驱动表、驱动方程和状态转换图。

5-5锁存器与触发器有何异同?5-6试描述主从式RS触发器,即画出其功能转换表,写出状态方程,画出状态表,画出逻辑符号。

5-7试描述JK、D、T和T'触发器的功能,即画出它们的逻辑符号、状态转换表、状态转换图,时序图,状态转换驱动表,写出它们的状态方程。

5-8试分析图5.7.1(a) 所示电路中虚线内电路Q’与输入之间的关系。

5-9试分析图5.7.1(b)所示电路的功能,并画出其功能表。

5-10试用状态方程法完成下列触发器功能转换:JK→D, D→T, T→D, JK→T, JK→T’, D→T’。

解:JK→D:Q n+1=JQ+KQ,D:Q n+1=D=DQ+DQ。

令两个状态方程相等:D=DQ+DQ =JQ+KQ。

对比Q、Q的系数有:J=D,K=D逻辑图略。

5-11试用驱动表法完成下列触发器功能转换:JK→D, D→T, T→D, JK→T, JK→T’, D→T’。

解:略。

5-12用一个T触发器和一个2-1多路选择器构成一个JK触发器。

数字电路与系统设计课后习题答案

数字电路与系统设计课后习题答案
解:结果都为(77)8
1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10
解:结果都为(FF)16
1、8转换下列各数,要求转换后保持原精度:
解:(1、125)10=(1、0010000000)10——小数点后至少取10位
(0010 1011 0010)2421BCD=(11111100)2
A-B=(90)10-(47)10=(43)10
C×D=(84)10×(6)10=(504)10
C÷D=(84)10÷(6)10=(14)10
两种算法结果相同。
1、11试用8421BCD码完成下列十进制数的运算。
解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13
(2)9+8=(1001)8421BCD+(1000)8421BCD=1 0001+0110=(1 0111)8421BCD=17
(3)58+27=(0101 1000)8421BCD+(0010 0111)8421BCD=0111 1111+0110=(1000 0101)8421BCD=85
(4)9-3=(1001)8421BCD-(0011)8421BCD=(0110)8421BCD=6
1、1将下列各式写成按权展开式:
(352、6)10=3×102+5×101+2×100+6×10-1
(101、101)2=1×22+1×20+1×2-1+1×2-3
(54、6)8=5×81+54×80+6×8-1

《数字电路与系统设计》第6章习题答案

《数字电路与系统设计》第6章习题答案

l ee t h e \1210101…X/Z0/01/0X/Z11…100…6.3对下列原始状态表进行化简: (a)解:1)列隐含表: 2)进行关联比较3)列最小化状态表为:a/1b/0b b/0a/0aX=1X=0N(t)/Z(t)S(t)解:1)画隐含表: 2)进行关联比较: 6.4 试画出用MSI 移存器74194构成8位串行 并行码的转换电路(用3片74194或2片74194和一个D 触发器)。

l ee t-h e \r 91行''' 试分析题图6.6电路,画出状态转移图并说明有无自启动性。

解:激励方程:略 状态方程:略状态转移图 该电路具有自启动性。

6.7 图P6.7为同步加/减可逆二进制计数器,试分析该电路,作出X=0和X=1时的状态转移表。

解:题6.7的状态转移表X Q 4nQ 3nQ 2nQ 1nQ 4n +1Q 3n +1Q 2n +1Q 1n +1Z 0 0 0 0 0 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 0 1 0 0 1 1 0 1 1 1 0 0 0 0 1 1 0 0 1 0 1 1 0 0 1 0 1 1 1 0 1 0 0 0 1 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 0 0 0 0 1 0 0 0 0 1 1 1 0 0 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 1 0 0 0 1 0 1 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 0 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 0 0 1 1 1 0 1 0 1 1 1 1 0 0 0 0 1 1 0 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 1 1 1 1 0 0 0 1 1 1 0 0 1 1 0 1 0 1 1 1 0 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 1 11 1116.8分析图6.8电路,画出其全状态转移图并说明能否自启动。

《数字电子技术基础》2版习题答案 6章习题解答

《数字电子技术基础》2版习题答案 6章习题解答

6章习题题解6.1 集成施密特触发器及输入波形如图题6.1所示,试画出输出u O的波形图。

施密特触发器的阈值电平U T+和U T-如下图。

图题6.1 [解]集成施密特触发器输出u O的波形如图解所示。

图解6.1图题所示为数字系统中常用的上电复位电路。

试说明其工作原理,并定性画出u I与u O 波形图。

假设系统为高电平复位,如何改接电路?图题图解[解] 工作原理分析如下(1) 当V CC刚加上时,由于电容C上的电压不能突变,u I为低电平,输出u O为低电平;随着电容充电,u I按指数规律上升,当u I≥U T时,输出u O变为高电平,完成了低电平复位功能。

波形如图解所示。

(2) 假设系统为高电平复位,仅将图中R,C互换位置即可。

图题是用TTL与非门、反相器和RC积分电路组成的积分型单稳态触发器。

该电路用图题所示正脉冲触发,R R off。

试分析电路工作原理,画出u O1、u I2和u O的波形图。

[解]工作原理分析如下9899触发信号未到来时,u I 为低电平,输出u O 为高电平;正触发脉冲到来时,u O1翻为低电平,此时由于u I2仍为高电平,输出u O 为高电平不变,电容通过R 放电,当u I2下降到U T 时〔u I 仍为高电平〕,输出u O 翻为高电平,暂稳态过程结束。

u O1、u I2和u O 的波形见图解。

6.4 集成单稳态触发器74121组成的延时电路如图题6.4所示,要求 (1)计算输出脉宽的调节范围; (2)电位器旁所串电阻有何作用?[解] (1) 输出脉宽:W ext ext W 0.70.7()t R C R R ==+,分别代入R W =0和22k Ω计算,可得t W的调节范围为:W 3.6mS 19mS t ≤≤。

(2) 电阻R 起保护作用。

假设无R ,当电位器调到零时,假设输出由低变高,那么电容C 瞬间相当于短路,V CC 将直接加于内部门电路输出而导致电路损坏。

6.5 集成单稳态触发器74121组成电路如图题6.5所示,要求(1)计算u O1、u O2的输出脉冲宽度;(2)假设u I 如图中所示,试画出输出u O1、u O2的波形图。

3-数字电路与系统设计 课后答案(张顺兴) 东南大学出版

3-数字电路与系统设计 课后答案(张顺兴) 东南大学出版
Page 2 of 2
第 2 章习题答案.doc
2.1 有A、B、C三个输入信号,试列出下列问题的真值表,并写出最小项表达式∑m( )。
(1)如果 A、B、C 均为 0 或其中一个信号为 1 时。输出 F=1,其余情况下 F=0。 (2)若 A、B、C 出现奇数个 0 时输出为 1,其余情况输出为 0。 (3)若 A、B、C 有两个或两个以上为 1 时,输出为 1,其余情况下,输出为 0。 解:F1(A,B,C)=∑m(0,1,2,4)
(1)F(ABC)=A+BC (2)F(ABCD)=(B+⎯C)D+(⎯A+B) C (3)F(ABC)=A+B+C+⎯A+B+C 解:(1)F(ABC)=∑m(3,4,5,6)
(2) F(ABCD)=∑m(1,3,5,6,7,9,13,14,15) (3) F(ABC)=∑m(0,2,6)
2.9 将题 2.8 中各题写成最大项表达式,并将结果与 2.8 题结果进行比较。
2.6 已知⎯ab+a⎯b=a⊕b,⎯a⎯b+ab=a~b,证明:
(1)a⊕b⊕c=a~b~c (2)a⊕b⊕c=⎯a~⎯b~⎯c
证明:略
2.7试证明:
(1)若⎯a⎯b+ a b=0 则 a x+b y=a⎯x + b⎯y (2)若⎯a b+a⎯b=c,则⎯a c + a⎯c=b 证明:略
2.8 将下列函数展开成最小项之和:
1.9 用下列代码表示(123)10,(1011.01)2:
解:(1)8421BCD 码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD

数字电路及系统设计课后习题答案

数字电路及系统设计课后习题答案

1.1 将下列各式写成按权展开式:2 1 0 -1(352.6 ) io=3X 102+5X 101+2X 10°+6X 10(101.101 ) 2=1 X 22+1x 2O+1X 2-1+1x 2-3( 54.6 )8=5X 81+54X 80+6X 8-12 1 0 -1 -2(13A.4F)16=1X162+3X161+10X 160+4X16-1+15X16-21.2 按十进制0~17 的次序,列表填写出相应的二进制、八进制、十六进制数。

解:略1.3 二进制数00000000~11111111 和0000000000~1111111111 分别可以代表多少个数?解:分别代表28=256和210=1024个数。

1.4 将下列个数分别转换成十进制数:1111101000)2,(1750) 8,( 3E8)16解:( 1111101000)2=( 1000)10( 1750)8= ( 1000)10(3E8)16=(1000)101.5 将下列各数分别转换为二进制数: ( 210)8,( 136)10,( 88)16解:结果都为:(10001000)21.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16解:结果都为(77)81.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8 ,(255)10 解:结果都为(FF)161.8 转换下列各数,要求转换后保持原精度:解:(1.125 )10=(1.0010000000 )10 ——小数点后至少取10 位(0010 1011 0010 )2421BCD= (11111100)2(0110.1010 )余 3 循环BC[M= ( 1.1110 ) 21.9 用下列代码表示(1 23)10,(1011.01 )2:解:(1) 8421BCD码:(123 )10= (0001 0010 0011 )8421BCD(1011.01 )2=(11.25)10=(0001 0001.0010 0101 )8421BCD(2) 余3 BCD码(123) io= (0100 0101 0110 )余3BCD(1011.01 ) 2=( 11.25 ) 10=(0100 0100.0101 1000 )余3BcD1.10 已知A=(1011010) 2,B=( 101111) 2,c=(1010100) 2,D=( 110) 2(1)按二进制运算规律求A+B, A-B, C X D, C一D,(2)将A B、C D转换成十进制数后,求A+B, A-B, C X D,C- D,并将结果与(1)进行比较。

数字逻辑电路与系统设计第6章习题及解答.docx

数字逻辑电路与系统设计第6章习题及解答.docx

第6章题解:6.1试用4个带异步清零和置数输入端的负边沿触发型JK 触发器和门电路设计一个异步余 3BCD 码计数器。

题6・1解:余3BCD 码计数器计数规则为:0011->0100->—1100-0011-*-,由于釆用 异步清零和置数,故计数器应在1101时产生清零和置数信号,所设计的电路如图题解6.1 所示。

题6.2试用中规模集成异步十进制计数器74290实现模48计数器。

题6.2解:图题解6. 16.3试用D触发器和门电路设计一个同步4位格雷码计数器。

题6.3解:根据格雷码计数规则,\Q1Q OQsQ>\00011110000000011000111111100111\QlQoQ.3Q>\00011110000001011111111110100000X^iQoQ3Q>\00011110000111010001110111100001\QlQoQ.3Q>\00011110001100010011111100100011 Qi Qo计数器的状态方程和驱动方程为:er1=D.=+型Q”+Q;莎er1=D2=+Q©+N Q;N QT = D L+ Q;Q;Q;; +Qj = D o = Q^Q;1+按方程画出电路图即可,图略。

6.5试用4位同步二进制计数器74163实现十二进制计数器。

74163功能表如表6.4所示。

题6・5解:可采取同步清零法实现。

电路如图题解6.5所示。

题6.6解:题6.4解:反馈值为1010c卜一进制计数器CLKCLR LD ENT ENP>c a[―<>40) a D DTC=\5图题解6. 5RCO74163当M=1时:六进制计数器八进制计数器6.7试用4位同步二进制计数器74163和门电路设计一个编码可控计数器,当输入控制变 量M=0时,电路为8421 BCD 码十进制计数器,M=1时电路为5421 BCD 码十进制计数器, 5421BCD 码计数器状态图如下图P6.7所示。

第6章-时序逻辑电路-课后答案

第6章-时序逻辑电路-课后答案

第六章 时序逻辑电路【题 6.3】 分析图P6.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

Y图P6.3【解】驱动方程:11323131233J =K =Q J =K =Q J =Q Q ;K =Q ⎧⎪⎨⎪⎩ 输出方程:3YQ =将驱动方程带入JK 触发器的特性方程后得到状态方程为:n+11313131n 12121221n+13321Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +⎧=+=⎪=+=⊕⎨⎪=⎩ 电路能自启动。

状态转换图如图A6.3【题 6.5】 分析图P6.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。

A 为输入逻辑变量。

图A6.3Y图P6.5【解】驱动方程: 1221212()D AQ D AQ Q A Q Q ⎧=⎪⎨==+⎪⎩输出方程: 21Y AQ Q =将驱动方程带入JK 触发器的特性方程后得到状态方程为:n+112n+1212()Q AQ QA Q Q ⎧=⎪⎨=+⎪⎩ 电路的状态转换图如图A6.51图A6.5【题 6.6】 分析图P6.6时序电路的逻辑功能,画出电路的状态转换图,检查电路能否自启动,说明电路能否自启动。

说明电路实现的功能。

A 为输入变量。

AY图P6.6【解】驱动方程: 112211J K J K A Q ==⎧⎨==⊕⎩输出方程: 1212Y AQ Q AQ Q =+将驱动方程带入JK 触发器的特性方程后得到状态方程为:n+111n+1212QQ Q A Q Q ⎧=⎪⎨=⊕⊕⎪⎩ 电路状态转换图如图A6.6。

A =0时作二进制加法计数,A =1时作二进制减法计数。

01图A6.6【题 6.7】 分析图P6.7时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

Y图P6.7【解】驱动方程: 001023102032013012301;;;J K J Q Q Q K Q J Q Q K Q Q J Q Q Q K Q==⎧⎪=∙=⎪⎨==⎪⎪==⎩ 输出方程: 0123Y Q Q Q Q =将驱动方程带入JK 触发器的特性方程后得到状态方程为:*00*1012301*2023012*3012303()Q ()Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q⎧=⎪=++⎪⎨=++⎪⎪=+⎩ 设初态Q 1Q 3Q 2Q 1 Q 0=0000,由状态方程可得:状态转换图如图A6.7。

没有用的资料

没有用的资料

500份通信电子类课后习题答案合集(共36页,myth920)电路第五版(邱关源罗先觉著) 高等教电路第四版(邱关源著) 高等教育出版社课后数字电子技术基础第四版(阎石著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=215&fromuid=9模拟电子技术基础简明教程第三版(杨素行著) 高等教育出版社课后答案/bbs/viewthread.php?tid=166&fromuid=9《信号与系统》第二版(郑君里)高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=994&fromuid=9电磁场与电磁波西安电子科技大学(第二版)/bbs/viewthread.php?tid=588&fromuid=9通信原理第5版(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=34&fromuid=9模拟电子技术基础第三版(华成英童诗白著) 高等教育出版社课后答案/bbs/viewthread.php?tid=242&fromuid=9电机学(张松林著) 机械工业出版社课后答案【khdaw】/bbs/viewthread.php?tid=356&fromuid=9高频电子线路(曾兴雯著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1110&fromuid=9数字信号处理第二版(丁玉美高西全著) 西安电子科技大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=882&fromuid=9《电动力学》郭硕鸿习题第二章课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=216&fromuid=9电机学(第二版) (辜承林陈乔夫熊永前著) 华中科技大学出版社课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=1011&fromuid=9电工学第六版上下册(秦曾煌著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1980&fromuid=9《电路》邱关源罗先觉第五版高等教育第一章课后答案1【khdaw_lxywyl】/bbs/viewthread.php?tid=4071&fromuid=9《电工学》秦曾煌第六版全部课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1930&fromuid=9模拟电子技术基础简明教程(第二版)(杨素行著) 高等教育出版社出版课后答案/bbs/viewthread.php?tid=113&fromuid=9电力电子技术第四版(王兆安黄俊著) 机械工业出版社课后答案【khdaw】/bbs/viewthread.php?tid=1273&fromuid=9通信原理教程(樊昌信著) 电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=371&fromuid=9电子技术基础第五版模拟部分(康华光著) 高等教育出版社课后答案/bbs/viewthread.php?tid=2023&fromuid=9电子技术基础数字部分(第四版) 康华光高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=769&fromuid=9高频电子线路第四版(张肃文著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=1737&fromuid=9电磁场与电磁波(谢处方著) 高等教育出版社课后答案/bbs/viewthread.php?tid=422&fromuid=9通信原理(周炯槃著) 北京邮电大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=538&fromuid=9数字电子技术基础第四版(阎石著) 高等数学出版社课后答案/bbs/viewthread.php?tid=91&fromuid=9微型计算机原理与接口技术(杨立著) 中国水利水电出版社课后答案/bbs/viewthread.php?tid=662&fromuid=9《电工电子技术》(第二版)习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=57&fromuid=9《高频电子线路》(曾兴雯)版高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1717&fromuid=9自动控制原理第五版(胡寿松著) 科学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=12067&fromuid=9通信原理(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=931&fromuid=9《数字信号处理教程》程佩青(第三版)清华大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6489&fromuid=9《信号与系统书》第二版沈元隆周井泉人民邮电出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=795&fromuid=9电磁场与电磁波课后习题(西安交大第2版)/bbs/viewthread.php?tid=35&fromuid=9《电路》邱关源罗先觉第五版高等教育第二章课后答案2【khdaw_lxywyl】/bbs/viewthread.php?tid=4072&fromuid=9电机与拖动基础(刘启新著) 中国电力出版社课后答案【khdaw】/bbs/viewthread.php?tid=727&fromuid=9《模拟电子技术基础》(童诗白第三版)习题答案/bbs/viewthread.php?tid=112&fromuid=9电子技术基础(数字部分) 第五版(康华光著) 高等教育出版社课后答案/bbs/viewthread.php?tid=5332&fromuid=9《IBM-PC 汇编语言》课后习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=428&fromuid=9《数字电子技术基础》第3版(余孟尝)高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=2329&fromuid=9移动通信第三版(郭梯云李建东著) 西安电子科技大学出版社课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=126&fromuid=9信号与线性系统分析(第四版) (吴大正著) 高等教育出版社课后答案/bbs/viewthread.php?tid=3982&fromuid=9《电路》邱关源罗先觉第五版高等教育第三章课后答案3【khdaw_lxywyl】/bbs/viewthread.php?tid=4073&fromuid=9《电路》第四版邱关源【khdaw】/bbs/viewthread.php?tid=1389&fromuid=9《电力电子技术》第四版王兆安黄俊机械工业出版课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=725&fromuid=9通信原理(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=427&fromuid=9自动控制原理第四版(胡寿松著) 科学出版社课后答案/bbs/viewthread.php?tid=654&fromuid=9《自动控制理论》第2版夏德钤翁贻方机械工业出版社习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3357&fromuid=9信号与系统第二版刘树棠答案/bbs/viewthread.php?tid=1681&fromuid=9《电路》邱关源罗先觉第五版高等教育第九章课后答案11【khdaw_lxywyl】/bbs/viewthread.php?tid=4313&fromuid=9《电子线路(非线性部分)》谢嘉奎高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=426&fromuid=9数字电子技术基础第五版(阎石著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6012&fromuid=9模拟电子技术基础第四版(华成英童诗白著) 高等教育出版社课后答案/bbs/viewthread.php?tid=2907&fromuid=9数字信号处理第二版(程佩青著) 清华大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1095&fromuid=9随机信号分析(第3版) (李晓峰李在铭周宁傅志中著) 电子工业出版社课后答案/bbs/viewthread.php?tid=81&fromuid=9《MATLAB 程序设计与应用》刘卫国高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5394&fromuid=9《数字信号处理》高西全丁玉美西安电子科大课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4439&fromuid=9《电路》邱关源罗先觉第五版高等教育第四章课后答案4【khdaw_lxywyl】/bbs/viewthread.php?tid=4084&fromuid=9《电路》邱关源罗先觉第五版高等教育第八章课后答案10【khdaw_lxywyl】/bbs/viewthread.php?tid=4304&fromuid=9《电路》邱关源罗先觉第五版高等教育第七章课后答案7【khdaw_lxywyl】/bbs/viewthread.php?tid=4154&fromuid=9数字电子技术基础第五版(阎石著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=8204&fromuid=9《电路》邱关源罗先觉第五版高等教育第四章课后答案5【khdaw_lxywyl】/bbs/viewthread.php?tid=4100&fromuid=9电磁场与电磁波第四版(谢处方饶克谨著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=3089&fromuid=9信息论与编码第2版(陈云著) 电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1949&fromuid=9微机原理及接口技术(机械工业出版社)【khdaw】/bbs/viewthread.php?tid=966&fromuid=9信息论基础教程(李亦农李梅著) 北京邮电大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=12041&fromuid=9模拟电子技术基础第四版(华成英童诗白著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=5546&fromuid=9通信原理第6版(樊昌信曹丽娜著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5596&fromuid=9积分变换(第四版)(张元林著) 东南大学数学系课后答案/bbs/viewthread.php?tid=3331&fromuid=9通信原理第五版(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=7044&fromuid=9信号与系统(奥本海默第二版)课后答案【khdaw】/bbs/viewthread.php?tid=2347&fromuid=9自动控制原理(李明富著) 中国水利水电出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=653&fromuid=9电子技术基础(模拟部分) 第五版(康华光著) 高等教育出版社课后答案/bbs/viewthread.php?tid=6394&fromuid=9自动控制原理(胡寿松著) 科学出版社附带例题课件课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5520&fromuid=9《电子技术基础》习题答案/bbs/viewthread.php?tid=246&fromuid=9《微型计算机原理及应用》习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5329&fromuid=9工程数学复变函数第四版(西安交通大学高等数学教研室著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5253&fromuid=9《电机及拖动基础》顾绳谷第四版机械工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6493&fromuid=9电路第五版(邱关源罗先觉著) 高等教育出版社课后答案/bbs/viewthread.php?tid=4275&fromuid=9单片机原理及应用(张毅刚著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=1658&fromuid=9计算机网络(第四版)谢希仁【khdaw】/bbs/viewthread.php?tid=938&fromuid=9《电路》邱关源罗先觉第五版高等教育第五、六章课后答案6【khdaw_lxywyl】/bbs/viewthread.php?tid=4125&fromuid=9《电路》邱关源罗先觉第五版高等教育第十章课后答案12【khdaw_lxywyl】/bbs/viewthread.php?tid=4325&fromuid=9《信号与系统》第二版奥本海姆电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1934&fromuid=9信号与系统(郑君里)第二版-全(郑君里著) 高教出版社课后答案/bbs/viewthread.php?tid=7895&fromuid=9《信息论与编码》曹雪虹张宗橙清华大学出版社部分课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3606&fromuid=9《电路》邱关源罗先觉第五版高等教育第十一章课后答案13【khdaw_lxywyl】/bbs/viewthread.php?tid=4326&fromuid=9自动控制原理(未知著) 未知课后答案/bbs/viewthread.php?tid=5481&fromuid=9《电路》邱关源罗先觉第五版高等教育第七章课后答案8【khdaw_lxywyl】/bbs/viewthread.php?tid=4210&fromuid=9微型计算机技术及应用答案【khdaw】/bbs/viewthread.php?tid=2448&fromuid=9电磁场与电磁波第四版(谢处方饶克谨著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5328&fromuid=9电动力学第二版(郭硕宏著) 中山大学课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=130&fromuid=9现代通信原理(曹志刚著) 清华大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1090&fromuid=9《电路》邱关源罗先觉第五版高等教育第十二章课后答案14【khdaw_lxywyl】/bbs/viewthread.php?tid=4623&fromuid=9通信原理(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=109&fromuid=9自动控制原理第四版(胡寿松著) 科学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4593&fromuid=9《数字信号处理》吴镇扬高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3050&fromuid=9《电路》邱关源罗先觉第五版高等教育第七章课后答案9【khdaw_lxywyl】/bbs/viewthread.php?tid=4250&fromuid=9《电路》第4版邱关源全习题详解答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1738&fromuid=9数字信号处理教程第二版(程佩青著) 清华大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1037&fromuid=9《电子技术基础》数字部分第四版答案【khdaw】/bbs/viewthread.php?tid=1667&fromuid=9电工学(秦曾煌)第六版高教课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3515&fromuid=9《复变函数与积分变换》华中科技大学第二版高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4829&fromuid=9数字信号处理-基于计算机的方法(第三版) (Sanjit k.Mitra著孙洪等译) 电子工业出版社课后答案【khdaw_lxywyl】自动控制原理及应用(温希东著) 西安电子科技大学出版社出版课后答案【khdaw】/bbs/viewthread.php?tid=652&fromuid=9模拟电子技术基础简明教程第三版(杨素行著) 高等教育出版社课后答案/bbs/viewthread.php?tid=7788&fromuid=9电磁场与电磁波第四版(谢处方饶克勤著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4456&fromuid=9模拟电子技术基础简明教程(第三版)部分课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5215&fromuid=9《自动控制》胡寿松部分课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=781&fromuid=9《电子技术基础》第五版(数字部分)高教(康华光)版课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6921&fromuid=9电路第五版/第5版(邱关源罗先觉著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=14770&fromuid=9《电工学》秦曾煌第六版下册电子技术高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6202&fromuid=9电磁场与电磁波(王家礼朱满座著) 西安电子出版社课后答案/bbs/viewthread.php?tid=1878&fromuid=9《电力拖动自动控制系统》陈伯时(第三版)机械工业出版社习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4799&fromuid=9通信电子线路(严国萍著) 科学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1578&fromuid=9信号与系统(陈生潭郭宝龙著) 西安电子科技大学课后答案/bbs/viewthread.php?tid=2646&fromuid=9自动控制原理(程鹏著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=12199&fromuid=9《模拟电子技术基础》华成英童诗白第三版高等教育出版社习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6249&fromuid=9《电工学》高等教育出版社课后答案【khdaw_lxywyl】《通信电路(高频电路)》沈伟慈(第二版)西安电子科大课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4001&fromuid=9电机学(胡虔生胡敏强著) 中国电力出版社课后答案【khdaw】/bbs/viewthread.php?tid=7406&fromuid=9《电力拖动自动控制系统》(陈伯时著) 机械工业出版社课后答案/bbs/viewthread.php?tid=4522&fromuid=9《模拟电子技术基础》胡宴如耿苏燕高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=961&fromuid=9自动控制原理第四版(胡寿松著) 科学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=7305&fromuid=9电机及拖动基础顾绳谷第四版机械工业出版社上册答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4142&fromuid=9《微型计算机原理与接口技术》冯博琴清华出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=2334&fromuid=9《电路》邱关源罗先觉第五版高等教育第十三章课后答案15【khdaw_lxywyl】/bbs/viewthread.php?tid=4624&fromuid=9单片机原理及应用完整版(张毅刚著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6611&fromuid=9通信原理第五版(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=2172&fromuid=9《模拟电子技术基础》童诗白第3版高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1942&fromuid=9《电机与拖动刘锦波清华出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3054&fromuid=9电子技术基础模拟部分第四版(康华光著) 高等教育出版社课后答案/bbs/viewthread.php?tid=5129&fromuid=9微机原理与接口技术(楼顺天周佳社著) 科学出版社课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=5696&fromuid=9《电子技术基础数字部分》第五版康华光高等教育出版社课后答案【khdaw_lxywyl】《电机及拖动基础》第四版课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3934&fromuid=9《电路》邱关源罗先觉第五版高等教育第十五章课后答案18【khdaw_lxywyl】/bbs/viewthread.php?tid=4857&fromuid=9电路与电子学第三版(王文辉著) 电子工业出版社课后答案【khdaw】/bbs/viewthread.php?tid=9504&fromuid=9电力电子技术(王兆安著) 机械工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=7152&fromuid=9《数字信号处理》西安电子科技大学出版社答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4686&fromuid=9《电路》邱关源罗先觉第五版高等教育第十四章课后答案16【khdaw_lxywyl】/bbs/viewthread.php?tid=4625&fromuid=9信息论与编码曹雪虹张宗橙清华大学出版社课后习题答案【khdaw】/bbs/viewthread.php?tid=8725&fromuid=9电子技术基础模拟部分第五版答案(清晰版)/bbs/viewthread.php?tid=5636&fromuid=9《电子测量》习题参考答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4397&fromuid=9微型计算机原理与接口技术(周荷琴著) 中国科学技术大学出版社课后答案_khdaw /bbs/viewthread.php?tid=7487&fromuid=9《微机原理与接口技术》第三版周荷琴中国科学技术大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6721&fromuid=9《通信原理》(樊昌信)第六版国防大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6728&fromuid=9《信号与线性系统分析》(第四版)/bbs/viewthread.php?tid=7113&fromuid=9通信原理(樊昌信著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=7081&fromuid=9《电力电子技术》试题习题考题及答案题解【khdaw_lxywyl】陈后金《信号与系统》第2版/bbs/viewthread.php?tid=3641&fromuid=9课后答案网的第一大版块/bbs/viewthread.php?tid=5399&fromuid=9EDA技术与VHDL 第2版(潘松著) 清华大学出版社课后答案【khdaw】/bbs/viewthread.php?tid=9270&fromuid=9《微型计算机原理》李广军电子科技大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1531&fromuid=9《电路》邱关源罗先觉第五版高等教育第十七、十八章课后答案20【khdaw_lxywyl】/bbs/viewthread.php?tid=4859&fromuid=9《数字信号处理》程佩青(第2版)清华大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3952&fromuid=9微型计算机原理与接口技术(冯博琴主编著) 清华大学出版社课后答案/bbs/viewthread.php?tid=6357&fromuid=9《半导体物理学》刘恩科、朱秉生版上海科技课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6625&fromuid=9模电第四版(康华光)完整课后答案/bbs/viewthread.php?tid=3752&fromuid=9计算机网络第五版(谢希仁著) 电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=10998&fromuid=9电气工程基础部分答案【khdaw】/bbs/viewthread.php?tid=760&fromuid=9数字信号处理(丁玉美版)习题答案【khdaw_cola】/bbs/viewthread.php?tid=7784&fromuid=9通信原理教第二版(樊昌信著) 国防工业出版社课后答案/bbs/viewthread.php?tid=5522&fromuid=9传感器原理及应用王化祥张淑英天津大学出版社课后答案【khdaw】/bbs/viewthread.php?tid=9033&fromuid=9单片机原理、接口及运用(李群芳肖看著) 清华大学出版社课后答案【khdaw】传感器与检测技术(陈杰著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4669&fromuid=9数字电子技术基础第五版(阎石著) 高等教育出版社课后答案/bbs/viewthread.php?tid=8081&fromuid=9电机与拖动基础第四版(顾绳谷著) 机械工业出版社课后答案/bbs/viewthread.php?tid=7232&fromuid=9工程电磁场导论/bbs/viewthread.php?tid=1592&fromuid=9自动控制原理(孙炳达著) 机械工业出版社部分课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=9063&fromuid=9《信息论-基础理论与应用》第二版部分答案(傅祖云)电子工业出版社【khdaw】/bbs/viewthread.php?tid=8616&fromuid=9《电路》邱关源罗先觉第五版高等教育第十四章课后答案17【khdaw_lxywyl】/bbs/viewthread.php?tid=4626&fromuid=9工程电磁场导论/bbs/viewthread.php?tid=1460&fromuid=9信号与系统(刘树棠译) 西安交通大学出版社课后答案/bbs/viewthread.php?tid=6283&fromuid=9光纤通信(刘增基著) 西安电子科技大学出版社课后答案/bbs/viewthread.php?tid=2618&fromuid=9<数字电路逻辑设计第二版>(王毓银)版【khdaw_cola】/bbs/viewthread.php?tid=7604&fromuid=9复变函数(苏变萍陈东立著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3758&fromuid=9通信原理教程(樊昌信著) 电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4725&fromuid=9《电路》邱关源罗先觉第五版高等教育第十六章课后答案19【khdaw_lxywyl】/bbs/viewthread.php?tid=4858&fromuid=9《高频电子电路》王卫东傅佑麟电子工业出版社课后答案【khdaw_lxywyl】《信号与线性系统》吴大正第四版高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5677&fromuid=9《单片机原理及应用》张鑫电子工业出版社(张鑫)版课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6371&fromuid=9奥本海姆第二版<<信号与系统>>书后习题详解ppt格式(刘树棠著) 西安交大课后答案/bbs/viewthread.php?tid=10472&fromuid=9电机与拖动基础(第3版)(李发海王岩著) 清华大学出版社课后答案【在线看】/bbs/viewthread.php?tid=4074&fromuid=9工厂供电(刘介才著) 机械工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=11577&fromuid=9数据与计算机通信(张娟著) 电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=1008&fromuid=9高频电路原理与分析第4版(曾兴雯陈建刘乃安著) 西安电子科技大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4066&fromuid=9c++语言程序设计(清华大学郑莉)【khdaw】/bbs/viewthread.php?tid=2948&fromuid=9《信号与系统》于慧敏著课后习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=908&fromuid=9模拟电子基础(第四版)华成英童诗白主编高等教育出版社习题答案【khdaw】/bbs/viewthread.php?tid=9894&fromuid=9《数字信号处理》王世一版北京理工大学出版社部分习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5102&fromuid=9《微波技术与天线》刘学观西电版部分课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=7886&fromuid=9周炳琨版《激光原理》全部答案下载地址!!/bbs/viewthread.php?tid=2387&fromuid=9《信号与系统》陈后金第2版清华大学、北京交通大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=8321&fromuid=9电子技术基础(模拟部分) 第五版(康华光著) 高等教育出版社课后答案《电子技术基础(数字部分)》康光华高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5984&fromuid=9模电第四版(康华光、陈大钦著) 高等教育出版社课后答案/bbs/viewthread.php?tid=4212&fromuid=9工程电磁场导论/bbs/viewthread.php?tid=1458&fromuid=9数字电子技术基础(清华大学出版社,阎石,第五版)【khdaw】/bbs/viewthread.php?tid=9262&fromuid=9物理光学与应用光学(石顺祥张海兴刘劲松著) 西安电子科技大学出版社课后答案【khdaw】chm /bbs/viewthread.php?tid=3240&fromuid=9单片机原理与接口技术第三版(李朝青著) 北京航空航天大学课后答案【khdaw】/bbs/viewthread.php?tid=14407&fromuid=9信号与系统第二版(陈生潭郭宝龙著) 西安电子科技大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6064&fromuid=9《微计算机原理原理》潘名莲马争(第二版)电子工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5208&fromuid=9《光纤通信原理》袁国良清华大学习题答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5702&fromuid=9通信原理教程第2版(樊昌信著) 电子工业出版社课后答案【khdaw】/bbs/viewthread.php?tid=10859&fromuid=9《简明电路分析基础》(李瀚荪著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=13298&fromuid=9《工程力学》梅凤翔课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3093&fromuid=9微波技术与天线【khdaw】/bbs/viewthread.php?tid=2879&fromuid=9电路与电子学第三版课后答案/bbs/viewthread.php?tid=7461&fromuid=9《模拟电子技术基础》胡宴如,耿苏燕(版)高等教育出版社课后答案【khdaw_lxywyl】《微波技术与天线》(电子工业出版社)课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3725&fromuid=9《模拟电子技术教程》华成英清华出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4025&fromuid=9自动控制原理简明教程第二版(胡松涛著) 科学出版社课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=13049&fromuid=9电工学简明教程习题与答案/bbs/viewthread.php?tid=6770&fromuid=9浙江大学于慧敏编《信号与系统》课后习题答案;/bbs/viewthread.php?tid=2310&fromuid=9控制电机作业答案(部分)【khdaw】/bbs/viewthread.php?tid=3041&fromuid=9《单片机》试题及答案课后答案_【khdaw_lxywyl】/bbs/viewthread.php?tid=9592&fromuid=9电子线路第四版线性部分(谢家奎著) 高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6722&fromuid=9C程序设计(第二版)谭浩强习题解答+《C程序设计上机指导》答案+... 【khdaw_lxywyl】/bbs/viewthread.php?tid=5731&fromuid=9《现代交换原理》北邮版课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6480&fromuid=9《随机信号分析》赵淑清郑薇哈尔滨工业大学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5793&fromuid=9《高频电子电路》王卫东傅佑麟电子工业课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=3875&fromuid=9《自动控制理论》第2版夏德钤翁贻方机械工业出版社习题答案(下)【khdaw_lxywyl】/bbs/viewthread.php?tid=3358&fromuid=9误差理论与数据处理第五版(费业泰著) 机械工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=13451&fromuid=9《信息论与编码基础》唐朝京雷蒨国防科技大学出版社课后答案【khdaw_lxywyl】《测控电路》(机械出版社)习题答案【khdaw】/bbs/viewthread.php?tid=2964&fromuid=9《电路分析》谭永霞西南交通大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5796&fromuid=9大学英语综合教程第三册上海外语教育出版社课后答案/bbs/viewthread.php?tid=5276&fromuid=9《电气工程基础》熊信银张步涵华中科技大学部分习题答案1-6章【khdaw_lxywyl】/bbs/viewthread.php?tid=4866&fromuid=9微波技术与天线(西电第二版)完整答案刘学观郭辉萍【khdaw_cola】/bbs/viewthread.php?tid=8172&fromuid=9微型计算机系统原理及应用第五版(周明德著) 清华大学出版社课后答案【khdaw】/bbs/viewthread.php?tid=9198&fromuid=9信息光学(教材+详细答案)苏显渝李继陶科学出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=6769&fromuid=9电子技术基础数字部分康华光高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4845&fromuid=9电子技术基础模拟部分第五版(康华光著) 高等教育出版社课后答案[khdaw]/bbs/viewthread.php?tid=8852&fromuid=9《高等数学》第四册(数学物理方法)【khdaw_lxywyl】/bbs/viewthread.php?tid=3186&fromuid=9《信号与系统分析基础》课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5474&fromuid=9通信原理第六版第一至五章(樊昌信曹丽娜著) 国防工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=14826&fromuid=9传感器原理及工程应用第三版第三章(郁有文常健程继红著) 西安电子科技大学出版社课后答案【khdaw】/bbs/viewthread.php?tid=14401&fromuid=9《电子线路非线性部分》(第四版)谢嘉奎主编【khdaw_cola】/bbs/viewthread.php?tid=6791&fromuid=9电子技术基础模拟部分第五版(康华光著) 高等教育出版社课后答案【khdaw】/bbs/viewthread.php?tid=15607&fromuid=9大学物理学(赵近芳著) 北京邮电大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4357&fromuid=9《电力电子技术》浣喜明、姚为正高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5651&fromuid=9通信原理超级豪华完整版(未知著) 未知课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=9677&fromuid=9《电工学》课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4846&fromuid=9现代控制理论第三版(刘豹唐万生著) 机械工业出版社课后答案【khdaw】/bbs/viewthread.php?tid=14422&fromuid=9电子信息本科系列教材《电磁场与电磁波》马冰然著华南理工大学出版社【khdaw】/bbs/viewthread.php?tid=9136&fromuid=9自动控制原理(孙亮杨鹏著) 北京工业大学出版社课后答案/bbs/viewthread.php?tid=6555&fromuid=9电机学第三版(汤蕴璆罗应立梁艳萍著) 机械工业出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=12652&fromuid=9《数字电子电路技术基础》阎石版高等教育出版社课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=5295&fromuid=9电工学上册电工技术(1-23章) 秦曾煌高等教育出版社部分课后答案【khdaw】/bbs/viewthread.php?tid=9012&fromuid=9《现代控制理论》赵明旺王杰江卫华华中科技大学出版社习题解答【khdaw_lxywyl】/bbs/viewthread.php?tid=6412&fromuid=9《通信电路》第二版沈念慈西安电子科大学课后答案【khdaw_lxywyl】/bbs/viewthread.php?tid=4318&fromuid=9计算机网络(第4版)AndrewS.T anenbaum著潘爱民译(AndrewS.T anenbaum著潘爱民译著) 清华出版社课后答案/bbs/viewthread.php?tid=6488&fromuid=9自动控制原理修订版第二版(孙亮杨鹏著) 北京工业大学出版社课后答案【khdaw_ricardo】/bbs/viewthread.php?tid=10901&fromuid=9。

数电第六章答案全部

数电第六章答案全部

P6-19 解:由状态图作出状态转移表如表解 6-19 所示,由状态转移表可作出各触发器的次态卡 诺图和输出函数卡诺图如图解 6-19 所示。由图解 6-19 求得各触发器的状态方程和输出函 数,最后求得各触发器的激励函数:
n 1 Q2 Q1 Q0 Q 2 ,
Q1n 1 Q 2 Q0 Q1 Q2 Q1 Q0 Q 2 Q1 Q 0 Q 2 Q0 Q1 Q2 Q0 Q1 , Q0n 1 Q 2 Q 0 Q2 Q1 Q0 ,
3. 试用 JK 触发器和 D 触发器分别构成下列电路: (1) 四位二拍接收数据寄存器; (2) 四位单拍接收数据寄存器。 解: (1) 由 JK 触发器构成的四位二拍接收数据寄存器如图解 6-12(a)所示,由 D 触发器构成的四位二拍接收数据寄存器如图解 6-12(b)所示。
(2) 由 JK 触发器构成的四位单拍接收数据寄存器如图解 6-12(c) , (d)所示, 由 D 触发器构成的四位单拍接收数据寄存器如图解 6-12(e)所示。
J 2 Q1 Q0 , K2 1,
J 1 Q 2 Q0 , K 1 Q2 Q0 ,
J0 Q2 K 0 Q2 Q1
Z Q2 Q11 Q 0
20.设计一个时序逻辑电路,该时序电路的工作波形图由图 P6-20 给出。
图 P6-20 解:该时序电路可视为一个三输出的脉冲分配器,工作波形的周期为八拍,可以先用八进 制计数器产生 8 个状态作为组合电路的输入, 然后通过组合电路产生三路输出, 其电路结 构框图如图解 6-20 所示,组合电路的真值表如表解 6-20 所示。 (设计数器的输出为:
S1 为接收到一个 1 的状态;
S 2 为在收到 1 后接收到一个 0 的状态; S 3 为在顺序收到 10 后接收到一个 1 的状态;

数字电子技术 第六章习题答案

数字电子技术 第六章习题答案

第六章 习题解答6.1. 分析题图P6.1所示电路的功能,列出功能表。

解:图P6.1所示电路的功能表如表6.1所示。

将功能表中各变量数值关系的逻辑函数用对应的“卡诺图”如图6.1所示。

RS 具有约束条件RS =0,触发器的逻辑表达式为⎪⎩⎪⎨⎧=+=+0RS Q R S Q n 1n ,根据这一逻辑表达式,P6.1逻辑电路具有基本RS 触发器的逻辑功能,约束条件是SR=0。

6.2同步RS 触发器与基本RS 触发器的主要区别是什么?解:同步RS 触发器与基本RS 触发器的主要区别是基本RS 触发器的RS 输入信号不论任何时刻都是有效的,只要RS 输入的状态组合发生变化,输出Q 的状态跟随发生变化;而同步同步RS 触发器的RS 输入信号只要在CP 时钟脉冲信号有效时段内起作用,只有在这一时段内,输出Q 的状态才跟随RS 输入的状态组合变化而发生变化。

1& & 1QR图 P6.1QR S Q nQ n+1功 能 1 1 1 1 0 1 不用 不用 不允许11 0 0 0 1 0 0 01=+n Q 置0 0 0 1 1 0 1 1 1 11=+n Q 置10 00 00 10 1n n Q Q =+1 保持6.3如图P6.3 (a)所示电路的初始状态为Q =1,R 、S 端和CP 端的信号如图P6.3(b )所示,画出该同步RS 触发器相应的Q 和Q 端的波形。

解:根据图P6.3 (a)所示电路结构,其功能为同步RS 触发器,电路的特性方程为:⎪⎩⎪⎨⎧=+=+0RS Q R S Q n 1n ,若R=S=1,在CP 时钟脉冲信号为“1”的时段内,触发器的两个输出端的状态均输出“1”,此种情况下,若CP 时钟脉冲信号从“1”状态,跳变为“0”的输入状态,则触发器的两个输出状态为不确定状态。

根据特性方程以及电路的初始状态,作出电路的输出端时序图如图6.3所示。

6.4 主从RS 触发器输入信号的波形如图P6.4(a )、(b )所示。

数字电路第6章习题参考答案

数字电路第6章习题参考答案

电路 如图
1010
1001
1000 0111 0110 (b)
19
利用后十一个态,反馈置位信号直接由进位端Oc=QDQCQBQA 引 入,预置数为16-11=5=0101,状态迁移关系如下:
QDQCQBQA 0101
0110 0111
1000
1001 1010 电路 如图
1111
1110
1101
1100
励议程和时钟议程为:F1:(LSB) CP1=CP,J1=Q4,K1=1(书上有错)
F2:
CP2=Q1,J2=K2=1
F3:
CP3=Q2,J3=K3=1
F4:(MSB) CP4=CP,J4=Q1Q2Q3,K4=1
要求:(1)画出该计数器逻辑电路图;
(2)该计数器是模几计数器;
(3)画出工作波形图(设电路初始状态为0000)。
01 01 01 01
00 00 10 10
00 00
3
1001
1010
1111
0000 0001 0010 0011
1000
1011
1100
0100
1101
1110
0111 0110 0101
(3)画出工作波形图(设电路初始状态为0000)。
4
6.3 设计一个计数电路,在CP脉冲作用下,3个触发器QA,QB,QC 及输出C的波形图如图所示(分别选用JK触发器和D触发器)。 QC为高位, QA为低位。
00 1 1 X 0 01 0 0 X X
11 0 0 X X 10 1 1 X X
Q 2n1Q 1nQ 2nQ 1nQ 2n
J 4 Q3nQ2 nQ1n K 4 1 J 3 Q2 nQ1n K 3 Q2 nQ1n J 2 K 2 Q1n

第六章习题参考答案

第六章习题参考答案

waajl 第六章习题参考答案6-1在逻辑功能上,时序电路的输出不仅与该时刻的输入有关, 还与电路原来的 状态有关;而组合逻辑电路的输出仅取决于该时刻的输入。

在电路结构上,时序 电路要含有存储电路一一通常有触发器构成, 并且触发器的输出与电路输入之间 存在反馈连接,而组合逻辑电路不含有存储元件,也无反馈连接。

6-2由于组合逻辑电路的输出仅取决于当前时刻的输入, 因此只需逻辑函数就足 以描述;但是时序逻辑电路的输出不仅与当前的输入有关, 还与电路的原状态一 —也就是以前的输入序列有关,因此需要用驱动方程、状态方程和输出方程才能 准确描述它的功能。

6-3由逻辑电路图可写出电路的 驱动方程:FF 0: D o =Q oFF : D i =Q^ Q i 输出方程:F= QQ i将驱动方程代入D 触发器的特性方程Q*=D 中,得状态方程: Q o *=D o =Q o ; Q i *=D i =Q o 二 Q i设初态为Q i Q o =oo ,则有状态方程和输出方程可列出状态转换表如下:Q i Q D o 0 0_i i o' i i QQoo Joi* /i/oi — /o io功能:同步两位二进制加法计数器(或称同步四进制加法计数器)6-4(1)由逻辑电路图写出各触发器的驱动方程和时钟方程 FF: Jo=(Q 2Q i ),K o =i ,CLK=CLK FF : Ji=Q o ,K i =(ChQ o): CLK=CLK FF : Jz=i ,K 2=i ,CLK= QQ i * Q o * o i i_o_ i io oFo o o i由状态转换表可以画出 状态转换图:⑵将驱动方程代入JK 触发器的特性方程Q*=JQ+KQ , (3个触发器都是下 降沿触发),得状态方程:Q o *= J b Q o +K o Q=(QQ i ) Q o =(QQ i +Q ),CLK 下降沿动作Q i *= Ji Q i +K 1 Qi =Q D Q I +Q 2 Q o Q i = Q i Q 0+Q 2 Q 1Q 0: CLK 下降沿动作 Q 2*= J 2Q 2 +K 2 Q=C 2 ',Q i 降沿动作(3)列出状态转换表和状态转换图(设初态Q2QQ O =OOO )CLK ChQ i Q o Q 2*Q i *Q o * CLK=CLK=CLK CLK=Q ii 0 0 00 0 i• 2 0 0 i 0 i 0J •3 0 i 0 0 i i•4 0 i i i 0 0•5 i 0 0 i 0 i•6i 0 i i i 0•7 i i 0 0 0 0• J无效状态Q 2Q i Q o =111,次态Q 2*Q I *Q O *=OOO ,进入有效状态⑷分析电路功能异步七进制加法计数器,可自启动6-5驱动方程和时钟方程FF : D o =Q o ,CLK=CLK 下降沿触发) FF : D i = Q i : CLK=Q (上升沿触发) FF : D 2= Q 2: CLK= Qi (上升沿触发) 状态方程: Q o *=Q o : CLK 下降沿 Q i *=Q i : Q o 上升沿 Q 2*=Q 2 : Q i 上升沿i o CLK QQ i Q o Q 2*Q i *Q o *CLK=CLKCLK=Q oCLK=Qii 0 0 0 i i i2 i i i i i 03 i i 0 i 0 i •4 i 0 i i 0 0•5 i 0 0 0 i i60 i i 0 i 0J> 0i0* 0iii00iii j ; iio *7O i O O O i J8 O O i O O O JQ2、Q i、Q0的波形图:6-6FF: J0=K6=1, Q o*= Q oFF : Ji= K=((CQ)+CQoy)匕CG O+CQ o', Q i*=(CC b+CQoJQ i+(CQ+CQ o丁Q iFF: Jz= K2=((CQQ i+CQ i Q o )) =CQQ 1+CQ1 Q o , Q2*=(CQ o Q i +CQ i Q o )Q +(CQ0Q i +CQ i Q o ) Q2(1) C=O 时,Q o*= Q oQ i*=Q o Q i + Q o Q i=(Q o 二Q i)Q2*=Q i Q o Q2+(Q Q o ) Q2=(Q i Q o hO2设初态为Q2Q i Q o=OOO,状态转换表:Q2 Q i Q o Q2* Q i* Q o*O O O i i ii i i i i Oi i O i O ii O i i O Oi O O O i iO i i O i OO i O O O iO O i O O O功能:同步八进制减法计数器⑵C=i时,Q o*= Q oQ i*=Q o Q i + C O Q i=Q o 二Q iQ2*=Q i Q o Q2 *+(Qi Q o) Q2=Q i Q o ㊉Q2设初态为Q2Q i Q o=OOO,状态转换表:_____________Q2 Q i Q o Q2* Q i* Q o*电路功能:异步八进制减法计数器0 0 0 0 0 10 0 1 0 1 00 1 0 0 1 10 1 1 1 0 01 0 0 1 1 0 11 0 1 1 1 01 1 0 : 1 1 11 1 1 0 0 0功能:同步八进制加法计数器(2)状态分配,画出状态转换图用3位二进制编码000~101分别表示S0~S5,输出为C000/0”001/0 *010/1 /0101 */0100 V/0011(3)画出次态卡诺图,001/0 010/0 100/0 011/0101/0 000/1 X XQ0Q2、00 01 11 100 0 0 F \1L 1 J0 、X」XQ2*=Q2Q Q +Q1Q06-7(1)画出原始状态转换图设计数器的6个状态分别为S0~S5,C作为进位输出信号/1 /01并写出状态方程00 01 11 10Q2*Q1*Q O*及F的卡诺图Q2*卡诺图Q 2*= Q i Q o Q 2 + Q o Q 2,则 J 2= Q1Q 0,K 2=Q o (逻辑电路略) 6-8十二进制加法计数器需要4个D 触发器,用OOOOTOii 分别表示状态Sr-Si i , 进位输出信号用F 表示设初态为Q 2Qi_____________________________Q 3 Q Q i Q OQ 3* Q 2* Q i * Q O * F O O O O0 0 0 i 0 0 0 0 i 0 0 i 0 0 0 0 i 0 0 0 i i 0Q i *=Q i Q o +Q 2 Q i Q o(4)与JK 触发器的特性方程 Q*=JQ+ KQ 进行比较,求驱动方程 FF : Q o *=Q o ,故 J 0=K o =1;FF : Q i *=Q i Q o'+QQ iQ = (QQ o )Q i +(Q o)Q i ,故 J= Q 2Q , £=Q ;FF : Q 2*=Q 2Q o +QQ o =(QQ o )Q 2"+ (QQ o + Q oj Q ,故 J 2= QQ , K 2=(QQ+ Qf)"= Q i Q o ;(6)检测能否自启动无效状态为iio 和iii ,由状态方程可求出次态分别为iii 和ioo ,故可以 自启动。

数字电路与系统设计习题答案

数字电路与系统设计习题答案

4.1分析图4.1电路的逻辑功能解:(1)推导输出表达式(略)(2) 列真值表(略)4.6 试设计一个将8421BCD 码转换成余3码的电路。

解: 电路图略。

4.7 在双轨输入条件下用最少与非门设计下列组合电路: 解:略4.8 在双轨输入信号下,用最少或非门设计题4.7的组合电路。

解:将表达式化简为最简或与式:(1)F=(A+C)(⎺A+B+⎺C)= A+C+⎺A+B+⎺C(2)F=(C+⎺D)(B+D)(A+⎺B+C)= C+⎺D+B+D+A+⎺B+C(3)F=(⎺A+⎺C)(⎺A+⎺B+⎺D)(A+B+⎺D)= ⎺A+⎺C+⎺A+⎺B+⎺D+A+B+⎺D(4)F=(A+B+C)(⎺A+⎺B+⎺C)= A+B+C+⎺A+⎺B+⎺C4.9 已知输入波形A 、B 、C 、D ,如图P4.4所示。

采用与非门设计产生输出波形如F 的组合电路。

解: F=A ⎺C+⎺BC+C ⎺D 电路图略4.10 电话室对3种电话编码控制,按紧急次序排列优先权高低是:火警电话、急救电话、普通电话,分别编码为11,10,01。

试设计该编码电路。

解:略4.11 试将2/4译码器扩展成4/16译码器 解:A 3A 2A 1 A 0⎺Y 0⎺Y 1⎺Y 2⎺Y 3 ⎺Y 4 ⎺Y 5⎺Y 6⎺Y 7 ⎺Y 8⎺Y 9⎺Y 10⎺Y 11 ⎺ Y 12⎺Y 13⎺Y 14⎺Y 15 A 1 ⎺EN ⎺Y 3A 0 2/4 ⎺Y 2译码器 ⎺Y 1⎺Y 0⎺EN A 1 2/4(1)A 0 ⎺Y 0⎺Y 1⎺Y 2⎺Y 3⎺EN A 1 2/4(2) A 0 ⎺Y 0⎺Y 1⎺Y 2⎺Y 3 ⎺EN A 1 2/4(3) A 0 ⎺Y 0⎺Y 1⎺Y 2⎺Y 3 ⎺EN A 1 2/4(4) A 0 ⎺Y 0⎺Y 1⎺Y 2⎺Y 34.12 试用74138设计一个多输出组合网络,它的输入是4位二进制码ABCD ,输出为:F 1 :ABCD 是4的倍数。

VHDL数字电路设计教程第六章习题答案

VHDL数字电路设计教程第六章习题答案

P6.1library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_1 isport(clk:in std_logic;d_out:out std_logic_vector(5 downto 0)); end;architecture bhv of chp6_1 issignal count: std_logic_vector(5 downto 0); signal temp: std_logic_vector(5 downto 0); beginprocess(clk)beginif clk'event and clk='1' thencount<= count+1;if count="100000" then count<="000000";end if;end if;end process;process(clk)beginif clk'event and clk='0' thentemp<=temp+1;if temp="0111111" then temp<="000000"; end if;end if;end process;d_out<=count+temp;end;P6.3solution1library ieee;use ieee.std_logic_1164.all;entity chp6_3 isport(x:in std_Logic_vector(7 downto 1);y:out std_logic_vector(2 downto 0)); end;architecture bhv of chp6_3 isbeginprocess(x)beginif x(7)='1' then y<="111";elsif x(6)='1' then y<="110";elsif x(5)='1' then y<="101";elsif x(4)='1' then y<="100";elsif x(3)='1' then y<="011";elsif x(2)='1' then y<="010";elsif x(1)='1' then y<="001";else y<="000";end if;end process;end;solution2library ieee;use ieee.std_logic_1164.all;entity chp6_3_2 isgeneric(n:integer:=3);port(x:in std_logic_vector(2**n-1 downto 0);y:out integer range 0 to 2**n-1); end;architecture bhv of chp6_3_2 isbeginprocess(x)variable temp:integer range 0 to 2**n-1; beginfor i in x'range loopif x(i)='1' thentemp:=i;exit;end if;end loop;y<=temp;end process;end;P6.4library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_4 isgeneric (n:integer:=8);port(clk:in std_logic;clk_out:out std_logic);end;architecture bhv of chp6_4 issignal rst:std_logic;signal temp:std_logic_vector(2 downto 0); beginprocess(clk,rst)beginif rst='1' thentemp<="000";elsif clk'event and clk='1' thentemp<=temp+1;end if;end process;rst<='1' when temp="111" else'0';clk_out<=temp(2);end;P6.5library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_5 isgeneric (n:integer:=7);port(clk:in std_logic;clk_out:out std_logic);end;architecture bhv of chp6_5 issignal temp:std_logic_vector(2 downto 0); signal cout:std_logic;beginprocess(clk)beginif clk'event and clk='1' thentemp<=temp+1;if temp="110" then temp<="000";end if;end if;end process;cout<='1' when temp="110" else'0';clk_out<=cout;end;P6.6library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity chp6_6 isport(clk,start,stop,reset:in std_logic;dig1,dig2,dig3:out std_logic_vector(3 downto 0)); end;architecture bhv of chp6_6 issignal sec1:integer range 0 to 10;signal sec2:integer range 0 to 6;signal min:integer range 0 to 10;beginprocess(clk,start, stop,reset)variable count1:integer range 0 to 10;variable count2:integer range 0 to 6;variable count3:integer range 0 to 10;beginif reset='1' thencount1:=0;count2:=0;count3:=0;elsif clk'event and clk='1' thenif start='1' and stop='0' thencount1:=count1+1;if count1=10 thencount1:=0;count2:=count2+1;if count2=6 thencount2:=0;count3:=count3+1;if count3=10 thencount3:=0;end if;end if;end if;end if;end if;sec1<=count1;sec2<=count2;min<=count3;end process;dig1<=conv_std_logic_vector(sec1,4);dig2<=conv_std_logic_vector(sec2,4);dig3<=conv_std_logic_vector(min,4);end;P6.8use ieee.std_logic_1164.all;entity chp6_8 isgeneric(n:integer:=8);port(input:in std_logic_vector(n-1 downto 0);output:out std_logic);end;architecture bhv of chp6_8 isbeginprocess(input)variable temp:std_logic_vector(n-1 downto 0); begintemp(0):=input(0);for i in 1 to n-1 looptemp(i):=input(i) xor temp(i-1);end loop;output<=temp(n-1);end process;end;P6.9library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_9 isgeneric(n:integer:=4);port(input:std_logic_vector(n-1 downto 0);output:out integer range 0 to n);end;architecture bhv of chp6_9 isbeginprocess(input)variable temp:integer range 0 to n;begintemp:=0;for i in 0 to n-1 loopif input(i)='1' thentemp:=temp+1;end if;end loop;output<=temp;end process;end;P6.10use ieee.std_logic_1164.all;entity chp6_10 isgeneric(n:integer :=8);port(din:in integer range 0 to n-1;dout:out std_logic_vector(n-1 downto 0));end;architecture bhv of chp6_10 isbeginprocess(din)beginfor i in 0 to n-1 loopif din=i then dout<=(i=>'1', others=>'0');end if;end loop;end process;end;P6.16library ieee;use ieee.std_logic_1164.all;entity chp6_16 isgeneric (n:integer:=8);port(a,b:in std_logic_vector(n-1 downto 0);cin:in std_logic;s:out std_logic_vector(n-1 downto 0);cout:out std_logic);end;architecture bhv of chp6_16 issignal carry:std_logic_vector(n downto 0);beginprocess(a,b,cin,carry)begincarry(0)<=cin;for i in 0 to n-1 loops(i)<=a(i) xor b(i) xor carry(i);carry(i+1)<=(a(i) and b(i)) or (a(i) and carry(i)) or (b(i) and carry(i));end loop;cout<=carry(n);end process;end;说明:本次答案均为课上讨论过的,P6.11-P6.15均可参考第五章答案,可以利用对应语句将其放入进程中。

《数字电路-分析与设计》第六章习题及解答(部分) 北京理工大学出版社

《数字电路-分析与设计》第六章习题及解答(部分) 北京理工大学出版社

第六章习题6-1 略。

6-2 此时相当于触发器在前级Q的上沿翻转,所以是减法计数器。

6-3 异步可逆计数器。

UP/DOWN=0时,加法计数;UP/DOWN=1时,减法计数6-4该电路为异步置位法任意模计数器,置位状态为4(M-1),所以该计数器的模M=5计数器;时序图略。

有效状态循环:0、1、2、3、7、0;4,6为过渡状态,其次态为7;5的次态为6。

由于由状态011(M-2)到100(M-1)时,Q1、Q0由1变0,所以这两个Q端上会出现毛刺。

6-5 用4位T’触发器;因用复位法,故用状态1010清0(R=Q3Q1)。

有效状态循环为0~9;10、11、14、15均为过渡状态,其次态均为0;12的次态是13,13的次态是14;毛刺出现在Q1上。

6-6用4位T’触发器;因用置位法,故用状态1001置位(S=Q3Q1Q0)。

有效状态循环为0~8,15;9、13为过渡状态,其次态均为15;10→11→12→13→15,14→15;无毛刺。

6-7用4位T’触发器;因用置位法,故用状态1101置位(S=Q3Q2Q1Q0)。

有效状态循环为0~12,15;13为过渡状态,其次态为15;14→15;毛刺出现在Q0上。

6-8~6-11 略。

6-12 电路由T触发器组成;CP i=CP,T0=1,T1=Q0,T2=Q1Q0,所以它是同步二进制减法计数器。

时序图略。

6-13 该题未要求是同步还是异步计数器,可以两种都做,也可以只做一种。

异步:先将JK转换为T’,即令J=K=1;同步:先将JK转换为T,即令J=K=T;M=8,需要3个触发器;异步可逆、同步可逆,参见图6.39;6-14 异步计数器电路简单,速度慢;同步计数器则相反。

6-15可能产生毛刺,也可能不产生。

如果产生毛刺,则它(们)出现在由M-2到M-1时由1变0的Q A、Q D端上和/或由M-2到M-1时由0变1的Q B、Q C端上。

6-16 先分别将‘290接为8421和5421计数器,再分别用M=7(Q D Q C Q B Q A =0111)8421和(Q A Q D Q C Q B=1010)5421复位即可,应特别注意高低位的顺序。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档