七段数码管课程设计报告书

合集下载

七段数码管显示控制程序设计

七段数码管显示控制程序设计
根据本次实验要求,程序流程图如图2所示:


图2 程序流程图
3.2PLC程序设计
网络1为启动程序。
网络2至网络60为定时器定时程序,定时时间1秒。如“网络3”,数码管显示2。计时器由38至63、101至134。
网络61至67为数码管显示程序。如“网络61”,对应译码管A,译码表如表3所示:
表3 译码表
(2)数据字典的建立
根据课设要求建立数据字典,具体所建数据如图4所示:
图4 数据字典
(3)通信关联
关联方式如图5所示:
图5 通信关联
4.联合调试
当PLC运行的时候,打开组态王监控界面。通过虚拟界面实现对PLC的控制,图5和图6、7分别表示当PLC 启动、停止和复位,PLC与虚拟界面状态显示图。
图5 启动画面
七段数码管显示控制程序设计
xxxx大学
课 程 设 计
(论文)
题目七段数码管显示控制程序设计(三)
班 级
学 号
学 生 姓 名
指 导 教 师
七段数码管显示控制程序设计(三)
xxxxxxx大学自动化学院
摘要:本文主要设计一个西门子S7-200系列的PLC数码管显示与上位机监控。按照设计要求利用PLC做出相应的梯形程序图,然后通过合理的硬件线路连接将PLC运行的结果在数码管上正确的显示出来。通过上位机设计组态王监控界面,对PLC控制数码管的显示进行模拟,实现对PLC的监控功能。并对PLC和组态王的应用和特点做了相关介绍。关键字:西门子s7-200;数码管显示;组态王;监控系统。
1.3组态王
组态王开发监控系统软件,是新型的工业自动控制系统,它以标准的工业计算机软、硬平台构建的集成系统取代传统的封闭式系统。组态王具有适应性强、开放性好、易于扩展、经济、开发周期短等优点。方便地构成监控画面,并以动画方式显示控制设备的状态,具有报警窗口、实时趋势曲线等,可便利的生成各种报表。它还具有丰富的设备驱动程序和灵活的组态方式、数据链接功能。

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告实验题目:数码管动态显示实验学院名称:专业:电子信息工程班级:姓名:高胜学号小组成员:指导教师:一、实验目的学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

二、设计任务及要求1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。

2、放慢扫描速度演示动态显示的原理过程。

三、系统设计1、整体设计方案数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。

如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。

虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。

2、功能模块电路设(1)输入输出模块框图(见图1)图1(2)模块逻辑表达(见表1)表1(数码管显示真值表)clk_1k dig seg↑01111111 C0↑10111111 F9注:数码管显示为01180121(3)算法流程图(见图2)(4)Verilog源代码module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule四、系统调试(1)仿真代码`timescale 1ns/1nsmodule scan_ledfz;reg clk_1k;reg[31:0] d;wire[7:0] dig;wire[7:0] seg;parameter dely=100;scan_led u1(clk_1k,d,dig,seg);always #(dely/2)clk_1k=~clk_1k;initial beginclk_1k=0;d=32'h01180134;#dely ;#dely ;#dely ;#dely ;#dely ;#(dely*20);#dely $finish;endinitial $monitor($time,,,"%b,%d,%h,%h",clk_1k,d,dig,seg); endmodulemodule scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0] count=3'b000; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule位码代码仿真代码`timescale 1ns/1nsmodule smg_tp; //测试模块的名字reg [2:0] c; //测试输入信号定义为reg型wire[7:0] dig; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒wei u1(c,dig); //调用测试对象initial begin //激励波形设定c=3'b0;#DEL Y c=3'b001 ;#DEL Y c=3'b010 ;#DEL Y c=3'b100 ;#DEL Y c=3'b101 ;#DEL Y c=3'b110 ;#DEL Y c=3'b111 ;#DEL Y $finish;endinitial $monitor($time,,,"dig=%d,c=%b ",dig,c); //输出格式i定义endmodulemodule wei(c,dig); //命名模块名字input[2:0] c;output[7:0] dig; //定义输入与输出reg[7:0] dig_r;reg[2:0] c_r; // 定义dig_r与c_r2个reg型数据assign dig=dig_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin c_r=c;case (c_r)3'b000:dig_r=8'b11111110; //c_r的数据变化而dig_r对于的数据变化3'b001:dig_r=8'b11111101;3'b010:dig_r=8'b11111011;3'b011:dig_r=8'b11110111;3'b100:dig_r=8'b11101111;3'b101:dig_r=8'b11011111;3'b110:dig_r=8'b10111111;3'b111:dig_r=8'b01111111;default: dig_r=8'b11111111;endcase //结束case语句end //结束always语句endmodule //结束程序译码器代码仿真代码`timescale 1ns/1nsmodule duan_tp; //测试模块的名字reg[3:0] a; //测试输入信号定义为reg型wire[7:0] seg; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒duan u1(a,seg); //调用测试对象initial begin //激励波形设定a=4'b0;#DELY a=4'b0001;#DELY a=4'b0010;#DELY a=4'b0011;#DELY a=4'b0100;#DELY a=4'b0101;#DELY a=4'b0110;#DELY a=4'b0111;#DELY a=4'b1000;#DELY a=4'b1001;#DELY a=4'b1010;#DELY a=4'b1011;#DELY a=4'b1100;#DELY a=4'b1101;#DELY a=4'b1110;#DELY a=4'b1111;#DELY $finish;endinitial $monitor($time,,,"seg=%d,a=%b",seg,a); //输出格式i定义endmodulemodule duan(a,seg); //命名模块名字input[3:0] a;output[7:0] seg; //定义输入与输出reg[7:0] seg_r;reg[3:0] a_r; // 定义seg_r与a_r2个reg型数据assign seg=seg_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin a_r=a;case(a_r) //七段译码4'b0000:seg_r = 8'hc0; //显示04'b0001:seg_r = 8'hf9; //显示14'b0010:seg_r = 8'ha4; //显示24'b0011:seg_r = 8'hb0; //显示34'b0100:seg_r = 8'h99; //显示44'b0101:seg_r = 8'h92; //显示54'b0110:seg_r = 8'h82; //显示64'b0111:seg_r = 8'hf8; //显示74'b1000:seg_r = 8'h80; ///显示84'b1001:seg_r = 8'h90; //显示94'b1010:seg_r = 8'h88; //显示a4'b1011:seg_r = 8'h83; //显示b4'b1100:seg_r = 8'hc6; //显示c4'b1101:seg_r = 8'ha1; //显示d4'b1110:seg_r = 8'h86; //显示e4'b1111:seg_r = 8'h8e; ///显示f endcase //结束case语句end //结束always语句endmodule //结束程序(2)仿真波形图(3)引脚图五、实验感想通过这次实验,让我学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

BCD7段译码器

BCD7段译码器
关键词:LED数码管,BCD码,EDA技术
1 引 言
20世纪末,数字电子技术得到了飞速发展,有力地推动和促进了社会生产力的发展和社会信息化的提高,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用了数字电子技术。
原理图描述的7段显示译码器的工作波形七段显示译码器的原理图描述显示的字形沈阳工程学院课程设计通过对bcd码显示译码器的设计我进一步了解到eda工具在我们现实生活中的作用是不可能被替代的而且在数字逻辑系统中74系列或4000系列芯片构成电路只适合简单的应用它们已不能满足人们对高科技技术的要求因此我们要更好的学习eda工具以便提高自身的实践能力与专业知识的应用能力争取更快的与社会实际和社会需要接轨
备注
1
12.30-12.31
选题,搜集资料,熟悉设计环境
2
01.02-01.06
调试程序
3
01.07-01.08
仿真程序
4
01.09-01.10
完成课程设计说明书(论文)、进行答辩
沈 阳 工 程 学 院
EDA课程设计成绩评定表
系(部):自动化学院班级:学生姓名:
指 导 教 师 评 审 意 见
评价
内容
MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。
半导体数码管(或称LED数码管)是常用的显示器件,其基本单元是发光PN结,目前较多采用磷砷化镓做成的PN结,封装成为发光二极管,如图1(a)所示。当外加正向电压时,就能发出清晰的光线。发光二极管的工作电压为1.5~3V,由于工作电流为几毫安到十几毫安比较小,故实际电路应串接适当的限流电阻。

七段数码管动态显示控制

七段数码管动态显示控制

实验二七段数码管动态显示控制一、实验目的利用AT89S52和使用两位数码管显示器,循环显示两位数00-99。

其中P2.0和P2.1端口分别控制数码管的个位和十位的供电,当相应的端口变成低电平时,驱动相应的三极管会导通,+5V通过驱动三极管给数码管相应的位供电,这时只要P3口送出数字的显示代码,数码管就能正常显示数字。

二、实验要求1、使用两位数码管显示器,循环显示两位数00-99;2、具有电源开关和指示灯,有复位键;3、数码管动态显示,即扫描方式,每一位每间隔一段时间扫描一次。

字符的亮度及清晰度与每位点亮的停留时间和每位显示的时间内轮换导通次数有关。

三、实验电路四、实验器材AT89S52;动态扫描显示;共阳极数码管;电阻五、实验原理说明图1 AT89S52引脚图图2 共阳极七段数码管引脚图1AT89S52引脚图,说明如下:按照功能,AT89S52的引脚可分为主电源、外接晶体振荡或振荡器、多功能I/O 口、控制和复位等。

1.多功能I/O口AT89S52共有四个8位的并行I/O口:P0、P1、P2、P3端口,对应的引脚分别是P0.0 ~ P0.7,P1.0 ~ P1.7,P2.0 ~ P2.7,P3.0 ~ P3.7,共32根I/O线。

每根线可以单独用作输入或输出。

①P0端口,该口是一个8位漏极开路的双向I/O口。

在作为输出口时,每根引脚可以带动8个TTL输入负载。

当把“1”写入P0时,则它的引脚可用作高阻抗输入。

当对外部程序或数据存储器进行存取时,P0可用作多路复用的低字节地址/数据总线,在该模式,P0口拥有内部上拉电阻。

在对Flash存储器进行编程时,P0用于接收代码字节;在校验时,则输出代码字节;此时需要外加上拉电阻。

②P1端口,该口是带有内部上拉电阻的8位双向I/O端口,P1口的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。

对端口写“1”时,通过内部的上拉电阻把端口拉到高电位,此时可用作输入口。

关于数码管的课程设计

关于数码管的课程设计

关于数码管的课程设计一、课程目标知识目标:1. 学生能理解数码管的基本概念,掌握其工作原理和种类。

2. 学生能描述数码管的各个部分及其功能,如发光二极管、段选和位选等。

3. 学生能运用所学知识分析数码管电路图,并解释其工作过程。

技能目标:1. 学生能运用所学知识设计和搭建简单的数码管显示电路。

2. 学生能通过编程实现对数码管显示内容的控制,如显示数字和简单字符。

3. 学生能运用实验仪器和工具进行数码管的检测和故障排查。

情感态度价值观目标:1. 学生培养对电子技术的兴趣和好奇心,激发创新意识和探索精神。

2. 学生养成合作学习、共同探究的良好习惯,培养团队协作能力。

3. 学生认识到数码管在日常生活和科技领域中的应用,增强学以致用的意识。

课程性质:本课程为电子技术基础课程,结合理论知识与实践操作,培养学生的电子技术素养。

学生特点:六年级学生具有一定的电子元件知识和动手能力,对新鲜事物充满好奇,喜欢动手操作。

教学要求:结合学生特点,注重理论联系实际,提高学生的动手操作能力和问题解决能力,注重培养学生的团队合作精神和创新能力。

通过本课程的学习,使学生能够达到上述课程目标,并为后续相关课程打下基础。

二、教学内容1. 数码管基础知识:介绍数码管的概念、分类(七段数码管、点阵数码管等)、工作原理及应用场景。

- 教材章节:第二章第二节“显示器件”2. 数码管的电路组成:讲解数码管的电路结构,包括发光二极管、限流电阻、段选和位选等。

- 教材章节:第二章第二节“显示器件的电路组成”3. 数码管显示控制原理:阐述数码管显示数字和字符的原理,包括静态显示和动态显示。

- 教材章节:第二章第三节“显示器件的控制原理”4. 数码管编程与控制:介绍如何通过编程实现对数码管显示内容的控制,例如使用Arduino等开源平台。

- 教材章节:第三章第一节“数字显示的控制方法”5. 实践操作:设计和搭建简单的数码管显示电路,进行编程控制,实现数字和简单字符的显示。

数电课程设计报告

数电课程设计报告

数电课程设计报告 The following text is amended on 12 November 2020.《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院专业:电子信息工程班级:姓名:学号:2014年7月目录1.设计任务与要求........................................................ . (1)2.设计思路........................................................ (1)3.设计原理及方案....................................................................... (2)4.总结与讨论........................................................................... (14)一、设计任务及要求:1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上2.洗衣机控制器的工作过程为:(1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。

(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟)(2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。

其他两个按键不起作用。

LED七段数码管数字钟

LED七段数码管数字钟

设 计 题 目: LED 七段数码管数字钟 学 院 名 称: 计算机科学与工程学院 专 业: 计算机科学与技术 班 级: 05计1 姓 名: 丁 琳 指导教师姓名: 白凤娥 指导教师职称: 教 授设 计 成 绩: 评 阅 教 师: 评 阅 日 期:2008年 12月 日微机原理与接口技术课程设计报告JIANGSU TEACHERS UNIVERCITY OF TECHNOLOGY目录一、设计任务书 (3)二、设计题目 (3)三、设计方案 (3)四、硬件原理 (4)1.七段数码管显示 (4)2.键盘扫描显示 (5)3.8253计数器和8259中断 (6)4.硬件连接 (6)五、程序流程图及程序清单 (7)1.七段数码管显示 (8)2. 键盘扫描显示 (9)3.定时器设计 (12)4.总程序设计 (15)六、调试过程及结果 (29)七、设计总结和体会 (30)八、参考文献 (30)一、设计任务书《微机原理及接口技术》是一门应用性、综合性、实践性较强的课程,没有实际的有针对性的设计环节,我们就不能很好的理解和掌握所学的技术知识,更缺乏解决实际问题的能力。

所以通过有针对性的课程设计,会使我们学会系统地综合运用所学的理论知识,提高我们在微机应用方面的开发与设计本领,系统的掌握微机硬软件设计方法。

通过课程设计实践,不仅要培养我们的实际动手能力,检验我们对本门课学习的情况,更要培养我们在实际的工程设计中查阅专业资料、工具书或参考书,掌握工程设计手段和软件工具,并能用设计报告表达设计思想和结果的能力。

培养我们实事求是和严肃认真的工作态度。

通过设计过程,要求我们熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使我们得到微机开发应用方面的初步训练。

集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。

数码管显示课程设计报告

数码管显示课程设计报告

目录一.实验前的准备 (2)二.实验目的 (2)三.实验设备 (2)四.实验内容 (3)五.实验原理 (3)六.管脚分配 (4)七.实验步骤 (4)八.实验原理图: (5)九.实验程序代码: (6)(1)CH452_I2C.h代码 (6)(2)Define.h代码 (8)(3)key.h代码 (9)(4)Key.c代码 (10)(5)Seven_seg.c代码 (12)十.结果分析: (15)十一.个人体会与总结: (16)一.实验前的准备打开实验箱开关,连接好JTAG下载线,将开发平台上的MODUL_SEL组合开关的1、2、7拨上,3、4、5、6、8拨下,使两个共阳极数码管显示为C2。

二.实验目的(1)熟悉并学习运用I2C总线的读写方式。

(2)运用CH452芯片的数码管显示功能,熟悉两线制访问CH452芯片的工作原理。

三.实验设备硬件:PC机GX-CIDE-SOC/SOPC综合创新开发实验平台GX-CIDE-SOC/SOPC综合创新开发实验平台核心板软件:Quartus II 8.0Nios II 8.0四.实验内容用七段数码管前三位显示000-999,计数周期为1s;按F1进行加1操作并用数码管显示。

按F2计数停止,并显示当前数。

按F3进行减1操作;当数从000-999时再加1变为000;当数从999-000时再减1变为999。

五.实验原理根据I2C总线时序要求,对CH452进行操作,送地址,送控制字,送数据。

数码管显示是以BCD译码方式显示。

六.管脚分配七.实验步骤(1)打开Quartus II 8.0,打开工程cide_c2,进行SOPC操作,裁剪所需要的内容,综合一下再分配管脚。

(2)启动Nios II IDE并新建一个空白C/C++工程,命名为smm,在SOPC Builder System中选择之前建立好的硬件系统cide_c2.ptf。

(3)转换工程路径,将提供的参考程序seven_seg.c,CH452_I2C.h,define.h加入到建立好的Nios II工程中,修改程序代码符合实验要求。

数字电子技术课程设计报告

数字电子技术课程设计报告

数字电子技术课程设计报告一、设计目的和任务:本设计项目旨在设计一个数字钟,能够显示当前时间,并具备时间设置功能。

主要任务包括:设计数字时钟的电路原理图、PCB布局,选取合适的数码管和时钟芯片,完成数字时钟的硬件组装和软件编程。

二、设计原理和方案:1.数码管原理:数码管是一种显示设备,由8段共阳极(或共阴极)、7段共阴极(或共阳极)的LED组成。

每个LED可以独立控制亮灭,通过对应的引脚控制可以达到显示不同数字的效果。

2.时钟芯片原理:时钟芯片是一种集成电路,能够提供精确的时间信号。

通过和微处理器或微控制器的连接,可以实现对时间的读取和设置功能。

本设计方案采用四位共阴极的数码管显示当前时间,以及四个按键实现时间设置功能。

时钟芯片选用DS1302,它具备低功耗、抗干扰和精准计时等特点,通过SPI接口连接到单片机。

三、硬件设计:1.数码管显示电路:将四位共阴极数码管的8个段接口分别连接到单片机的GPIO口,通过控制GPIO口的电平变化,实现数码管显示0-9的数字。

2.时钟芯片连接电路:将DS1302的SCK、RST和DAT引脚分别接到单片机的SPI接口的对应引脚,以实现单片机和时钟芯片之间的信息交换。

3.按键电路:设计四个按键实现时间设置功能,通过连接到单片机的GPIO口,通过检测按键的状态变化来触发相应的时间设置操作。

四、软件设计:1.时钟初始化:在程序启动时,先进行时钟芯片的初始化,设置年月日时分秒的初始值。

2.读取时间:通过SPI接口读取时钟芯片的时间信息,包括年月日时分秒。

3.显示时间:将读取到的时间信息转换成相应的数字,通过控制数码管的GPIO口实现数字的显示。

4.时间设置:通过检测按键的状态变化,触发相应的时间设置操作,将设置的年月日时分秒信息写入到时钟芯片中。

五、结果和分析:经过硬件组装和软件编程,实现了数字时钟的设计。

通过按键可以设置时钟的年月日时分秒信息,数码管能够准确地显示当前时间。

七段数码管显示十进制数字 (8)

七段数码管显示十进制数字 (8)

第二次实验报告
实验题目:
设有10个开关,编号分别是0,1,……,9,设计电路实现某开关闭合时对应显示相应的十进制数字。

要求:写出设计说明;用proteus 仿真。

实验方案:
选用器件:74LS147,74LS49, 电阻,电源,开关,电源线,非门,与门,与非门,共阴极7段数码管(7SEG-COM-CAT-BLUE)。

数码管是用7段数码显示十进制的0-9的显示器,,前面接一个将BCD码转换成7段数码管的显示代码的7段显示译码器74LS49,由于该译码器输出为高电平有效,则适用于连共阴极数码管,同时为了保护电路接入电阻。

又BCD编码器将代表十进制数的十个输入信号分别编成对应的BCD代码,又74LS49输入为低电平有效,则在与选用的74LS147之间加入反向器。

接着连接开关电路,实现数字1—9的输入与输出
实验过程:
按照上述方案在proteus中设计并连接电路,发现开始仿真时没有开关按下的时候显示器显示为0,则通过与非门将所有的开关电路连起来,输出端与74LS49的BI端相连接,并再次运行仿真,发现解决了上述问题。

则完整的电路图如下:
实验结果:
运行仿真,按下任一开关发现显示器显示该开关对应的数字,如下所示:
当同时按下两个开关时,显示的是两个之中较大的一个数字:
总结:
通过此次课程设计,使我更加扎实的掌握了有关编码器和译码器原理方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和理论不足,同时还对LED显示器的结构
和原理有了一定的了解。

数码管流动显示12345678

数码管流动显示12345678

学号天津城建大学嵌入式系统及应用课程设计设计说明书数码管流动显示12345678起止日期:2015 年11月15日至2015 年11月27 日学生姓名班级成绩指导教师(签字)计算机与信息工程学院2015年11 月27 日课程设计报告书题目: 数码管流动显示12345678学生姓名:学生学号:院名:专业:任课教师:目录摘要 (II)第一章课设总述 (1)1.1单片机概述 (1)1.3课题研究的目的和意义 (1)1.4课题的主要研究工作 (1)第二章系统硬件介绍及设计 (2)2.1AT89C51简介 (2)1.2数码管7SEG-COM-AN-BLUE介绍(7段数码管) (3)2.2硬件电路图 (4)2.3元件清单 (4)第三章系统软件设计 (5)3.1程序描述 (6)3.2程序流程图 (6)第四章系统调试 (7)4.1在PROTEUS中仿真 (7)参考文献 (7)摘要1.内容:利用动态扫描让八位数码管稳定的显示1、2、3、4、5、6、7、82.目标:(1)掌握单片机控制八位数码管的动态扫描技术,包括程序设计和电路设计,本任务的效果是让八位数码管稳定的显示12345678。

(2)用PROTEUS进行电路设计和实时仿真3.知识点链接(1)数码管动态扫描(动态扫描的定义以及与静态显示的区别)动态显示的特点是将所有位数码管的段选线s一位数码管有效。

选亮数码管采用动态扫描显示。

所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。

(2)总线的应用元器件与总线的连线P0口的接线采用总线方式,详细如电路图1所示。

①选择总线按钮②绘制总线:与普通电线的绘制方法一样,选择合适的起点、终点单击。

如果终点在空白处,左键双击结束连线。

画总线的时候为了和一般的导线区分,我们一般喜欢画斜线来表示分支线。

此时我们需要自己决定走线路径,只需在想要拐点处单击鼠标左键即可。

七段数码管课程设计

七段数码管课程设计

七段数码管课程设计一、课程目标知识目标:1. 理解七段数码管的基本结构及其工作原理;2. 掌握七段数码管的电路连接方式,能够正确进行电路图的绘制;3. 学会使用七段数码管进行数字的显示,并了解其显示原理。

技能目标:1. 能够运用所学知识,设计并搭建简单的七段数码管电路;2. 培养学生动手实践能力,学会使用基本电子元件进行电路连接;3. 培养学生的问题分析能力和团队合作能力,通过实际操作,解决电路连接和显示过程中的问题。

情感态度价值观目标:1. 培养学生对电子技术的兴趣和热情,激发学习动力;2. 培养学生的创新意识,鼓励他们在实践中积极探索,勇于尝试;3. 培养学生的责任心,让他们明白学习电子技术对国家和未来发展的重要意义。

课程性质:本课程为电子技术实践课程,结合理论知识,强调学生的动手实践能力。

学生特点:七年级学生对电子技术有一定的兴趣,好奇心强,喜欢动手操作,但理论知识掌握程度不一。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,教师为主导,引导学生在实践中掌握知识,培养技能,提高素养。

通过课程目标的分解,使学生在课程学习中获得具体的学习成果,为后续教学设计和评估提供依据。

二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. 七段数码管基础知识:介绍七段数码管的结构、工作原理及其特点;- 教材章节:第二章第二节“显示器件简介”2. 电路连接与绘制:讲解如何将七段数码管与微控制器连接,并绘制相应的电路图;- 教材章节:第三章第一节“电路图的绘制与识别”3. 数字显示原理:阐述七段数码管显示数字的原理,包括动态扫描和静态显示;- 教材章节:第二章第三节“数字显示技术”4. 实践操作:指导学生动手搭建七段数码管电路,实现数字显示;- 教材章节:第五章“电子技术实践”5. 电路调试与故障排查:教授学生如何对搭建的电路进行调试,排查并解决问题;- 教材章节:第六章第二节“电路调试与故障排查”教学大纲安排:第一课时:介绍七段数码管基础知识,引导学生认识显示器件;第二课时:讲解电路连接与绘制,让学生学会如何将七段数码管与微控制器连接;第三课时:分析数字显示原理,让学生了解七段数码管的显示方式;第四课时:进行实践操作,指导学生动手搭建电路,实现数字显示;第五课时:进行电路调试与故障排查,培养学生的问题解决能力。

数码管流动显示12345678

数码管流动显示12345678

学号天津城建大学嵌入式系统及应用课程设计设计说明书数码管流动显示12345678起止日期:2015 年11月15日至2015 年11月27 日学生姓名班级成绩指导教师(签字)计算机与信息工程学院2015年11 月27 日课程设计报告书题目: 数码管流动显示12345678学生姓名:学生学号:院名:专业:任课教师:目录摘要.................................................................................................................................... 第一章课设总述. (1)1.1单片机概述 (1)1.3课题研究的目的和意义 (1)1.4课题的主要研究工作 (1)第二章系统硬件介绍及设计 (2)2.1AT89C51简介 (2)1.2数码管7SEG-COM-AN-BLUE介绍(7段数码管) (3)2.2硬件电路图 (4)2.3元件清单 (4)第三章系统软件设计 (5)3.1程序描述 (6)3.2程序流程图 (6)第四章系统调试 (7)4.1在PROTEUS中仿真 (7)参考文献 (7)摘要1.内容:利用动态扫描让八位数码管稳定的显示1、2、3、4、5、6、7、82.目标:(1)掌握单片机控制八位数码管的动态扫描技术,包括程序设计和电路设计,本任务的效果是让八位数码管稳定的显示12345678。

(2)用PROTEUS进行电路设计和实时仿真3.知识点链接(1)数码管动态扫描(动态扫描的定义以及与静态显示的区别)动态显示的特点是将所有位数码管的段选线s一位数码管有效。

选亮数码管采用动态扫描显示。

所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。

(2)总线的应用元器件与总线的连线P0口的接线采用总线方式,详细如电路图1所示。

硬件课程设计(电子计算器)报告

硬件课程设计(电子计算器)报告

电子计算器的设计主要分为键盘的编码、七段LED 数码管的显示及四则运算法则的编写三部分。

设计中我们用可编程外围接口芯片8255A 连接键盘和七段LED 数码管,用七段LED 数码管显示键盘输入信号及运算结果,利用简单的汇编语言编写相应程序进行四位自然数的有效四则运算。

由于本次实验中,实验箱是HUSYE3-MIT-16/32微机接口实验仪采用模块化、积木式的结构,各实验模块互不影响。

但可通过连线将各实验模块有机组合起来,进行微机外围接口实验。

实验箱中8279已经集成了键盘和LED数码管显示的功能。

因此,本次实验是采用了硬件设计更为简单而功能强大的8279辅助完成实验。

关键词:8279;七段LED 数码管;汇编语言;四则运算目录1选题与需求分析 (1)1.1选题 (1)1.2需求分析 (1)1.2.1课题的功能需求 (1)2总体设计 (2)2.1硬件方案 (2)2.2软件方案 (2)3详细设计 (5)3.1硬件实现 (5)3.2软件实现 (5)4设计结果 (10)5收获与体会 (15)6参考文献 (16)7附录....................................................................................... 错误!未定义书签。

1 选题与需求分析1.1 选题我选的题目是电子计算器。

电子计算器的设计主要分为键盘的编码、七段LED 数码管的显示及四则运算法则的编写三部分。

设计中我们用可编程外围接口芯片8255A 连接键盘和七段LED 数码管,用七段LED 数码管显示键盘输入信号及运算结果,利用简单的汇编语言编写相应程序进行四位自然数的有效四则运算。

由于本次实验中,实验箱是HUSYE3-MIT-16/32微机接口实验仪采用模块化、积木式的结构,各实验模块互不影响。

但可通过连线将各实验模块有机组合起来,进行微机外围接口实验。

实验箱中8279已经集成了键盘和LED数码管显示的功能。

数码管电子课程设计

数码管电子课程设计

数码管电子课程设计一、教学目标本课程旨在通过数码管电子课程设计,让学生掌握数码管的基本原理、结构特点及其应用。

在知识目标方面,学生应了解数码管的分类、工作原理以及相关电子电路知识。

在技能目标方面,学生应能够独立设计并搭建数码管显示电路,具备一定的电子制作能力。

在情感态度价值观目标方面,学生应培养对电子科技的兴趣,增强创新意识和团队合作精神。

二、教学内容本课程的教学内容主要包括数码管的基本原理、结构特点、分类及工作原理。

首先,介绍数码管的分类,包括液晶数码管、七段数码管、点阵数码管等,并通过实物展示让学生了解各种数码管的外观和内部结构。

其次,讲解数码管的工作原理,包括数字显示、字符显示等。

然后,引导学生学习数码管的相关电子电路知识,如驱动电路、显示电路等。

最后,结合实际案例,让学生掌握数码管在实际应用中的设计方法和步骤。

三、教学方法为了提高教学效果,本课程将采用多种教学方法。

首先,采用讲授法,系统地传授数码管的基本原理、结构和分类。

其次,运用讨论法,让学生在课堂上互相交流、探讨数码管的应用场景和设计方法。

再次,通过案例分析法,分析实际案例,使学生更好地理解数码管的工作原理和应用。

最后,利用实验法,让学生动手搭建数码管显示电路,提高其实际操作能力。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源。

教材方面,选用《数码管原理与应用》作为主教材,辅以《电子制作入门》等参考书籍。

多媒体资料方面,制作课件、视频教程等,以便于学生更好地理解数码管的相关知识。

实验设备方面,准备数码管显示模块、驱动电路、实验板等,确保学生能够完成数码管电路的搭建和调试。

此外,还将提供在线答疑、讨论区等网络资源,以便学生随时随地解决问题和交流学习心得。

五、教学评估本课程的评估方式包括平时表现、作业、考试等方面,以全面客观地评价学生的学习成果。

平时表现主要考察学生的课堂参与度、提问回答、小组讨论等,占总评的30%。

作业包括课后练习和项目设计,占总评的40%。

用7段数码管显示9秒倒计时资料

用7段数码管显示9秒倒计时资料

1 设计内容及目标1.1设计题目用七段LED数码管显示倒计时1.2设计要求(1)用8255控制七段LED数码管。

(2)可选:用8254定时器显示时间。

(3)可选:可通过开关控制暂停计时、继续计时或时间清零。

1.3设计目的通过本学期对微机原理的学习,掌握的知识还停留在理论的上。

但是这是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。

通过设计实践,培养学生查阅专业资料,工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表达设计思想和结果的能力。

通过设计,不但要培养和提高学生解决工程具体问题,动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。

2 设计原理2.1设计思路本次课程设计的题目是用七段LED数码管来显示倒计时。

在这个设计中既要用到8255芯片,又要用到8254芯片对脉冲信号进行记数。

设定初始值,每隔一秒,秒钟数字减一,每过60秒分钟个位减一。

根据需要,需选择的芯片有8255、8259和8254。

2.2设计环境与器材(1)PC微机一台。

用于对程序的编写、编译和测试等,同时还需要对实验设备进行控制,提供整个程序的运行平台,并且收集和释放硬件信号,实现程序功能。

(2)微机原理实验箱一台。

此设备必须能提供8254、8255、8259和数码管等必要芯片,并且能通过接受PC机传来的信息,显示出相应的功能,以支持电子时钟的实现。

(3)导线若干条。

用于电路和芯片之间的连接。

2.3电路原理和主要芯片2.3.1电路工作原理首先利用程序硬性规定分、秒的起始时间为9。

然后通过8254计时器分频,并将以分得的频率接通8259中断控制器,进而通过CPU响应可屏蔽中断达到按秒计时的效果。

实验报告任务书

实验报告任务书

硬件课程设计指导书一、课程性质与目的《硬件课程设计》是计算机科学与技术专业的专业必修核心课程。

适用于计算机科学与技术专业。

是计算机科学与技术专业重要的实践环节。

通过本课程的学习,使学生基本掌握硬件编程语言VHDL ,并熟悉FPGA 设计的实验和使用方法。

通过设计、编程、调试过程,培养学生的设计和实验动手能力。

二、教学基本内容及基本要求1、学习现代硬件系统设计基本方法。

2、学习使用硬件描述语言VHDL。

3、学会使用图形方式和文本方式设计逻辑与时序电路。

4、学会分层次设计方法。

5、学会FPGA编程和应用。

6、详细的设计任务要求见附件1。

三、时间安排课程设计教学时间共5周。

结合实际情况,本次安排时间跨度为第19周~第20周,第26~第28周,共计5周,从7月5日开始,到9月10日结束。

第19周~第20周:理论教学第26周~第28周:实验调试四、参考书目1. 邹彦,庄严等.EDA技术与数字系统设计.电子工业出版社.2007,42. 薛宏熙,胡秀珠.计算机组成与设计.清华大学出版社.2007,13. 潘松,黄继业. EDA技术与VHDL. 清华大学出版社. 2007.1五、成绩评定设计成绩由平时听课状况、设计结果、设计报告三部分构成。

平时成绩占20%,实验与设计调试占50%,设计报告占30%。

考核依据:(1)平时听课/实验。

由值班教师负责考核,记录出勤状况、听课过程中回答问题状况等。

(2)实验与设计结果。

该部分由学生独立完成具体的设计项目,完成后由教师验收,根据验收情况和设计结果给出相应的成绩。

(3)设计报告。

在实验部分完成的基础上,学生根据自己设计的实验与调试过程,每人写出自己的设计报告,根据设计报告的情况由指导老师评定并给出得分。

六、要求在课程设计阶段,严格考勤,根据题目要求认真独立完成设计,要求学生全程参与课程设计过程,完成理论到实践的全过程训练。

在实验过程中,独立完成设计任务并调试,根据设计结果和设计过程中的努力程度,由指导教师给出设计成绩。

微机原理课程设计报告利用DA芯片实现电机转速控制

微机原理课程设计报告利用DA芯片实现电机转速控制

目录一、概述 (3)1.1试验目的及要求 (3)1.2课程设计所用软硬件 (3)二、实验过程及体会 (3)2.1课程设计进行过程及步骤 (3)2.2实验总结及体会 .................. 1错误!未定义书签。

2.3实验分工 (15)2.4参考资料 (16)一、概述1.1目的及要求利用D/A0832芯片实现电机转速控制,要求可以通过输入选择电机转速的档位,并且用七段数码管显示电机档位。

1.2课程设计所用软硬件PC机、Proteus软件二、实验过程及体会2.1课程设计过程及步骤(1)设计思路本次试验要求设计利用D/A芯片实现电机转速控制,因此要用到电机与D/AC0832芯片,要控制电机转速,因此我们选择按键控制电机转速,初步准备设计电机有4个档位,全部用按键控制,按下1键表示一档,按下2键表示二档,按下3键表示三档,按下4键表示四档,其中一档转速最快,然后依次递减。

因此,总的设计思路是用8086输出各种控制信号,用可编程并行接口芯片8255扫描键盘,当有有限按键按下时有8255输出数字信号到D/AC0832,然后由D/AC0832将数字信号转换为模拟信号,输出到电机,再有8255输出数字量到七段数码管,用七段数码管显示按下的档位,即电机转速。

实验流程如下:(2)由设计思路在Proteus上画出电路图,译码电路如下:8255控制电路如下:键盘如下:D/AC0832数模转换电路如下:MOV AL,00HMOV DX,BBOUT DX,ALBEG:CALL INPUTCMP AL,1JZ ONECMP AL,2JZ TWOCMP AL,3JZ THREECMP AL,4JZ FOURJMP BEGONE:MOV BX,OFFSET STRING XLATMOV DX,AAOUT DX,ALMOV AL,0FFHMOV DX,BBOUT DX,ALCALL DELAYJMP BEGTWO:MOV BX,OFFSET STRING XLATMOV DX,AAOUT DX,ALMOV AL,0C0HMOV DX,BBOUT DX,ALCALL DELAYJMP BEGTHREE:MOV BX,OFFSET STRING XLATMOV DX,AAOUT DX,ALMOV AL,80HMOV DX,BBOUT DX,ALCALL DELAYJMP BEGFOUR:MOV BX,OFFSET STRING XLATMOV DX,AAOUT DX,ALMOV AL,40HMOV DX,BBOUT DX,ALCALL DELAYJMP BEGINPUT PROC NEARMOV DX,CCMOV AL,0OUT DX,ALNO_KEY:IN AL,DXAND AL,0FHCMP AL,0FHJZ NO_KEYMOV DX,CCIN AL,DXAND AL,0FHCMP AL,0FHJZ NO_KEYMOV BH,0MOV SI,4MOV CH,11101111B AGAIN0:MOV AL,CHMOV DX,CCOUT DX,ALIN AL,DXMOV DL,0 AGAIN:TEST AL,01HJZ DONESHR AL,1INC DLCMP DL,4JNZ AGAINROL CH,1INC BHDEC SICMP SI,0JNZ AGAIN0DONE:SAL DL,1SAL DL,1ADD DL,BHMOV AL,DLRETINPUT ENDPDELAY PROCPUSH CXMOV CX,125LOOP $POP CXRETDELAY ENDPCODE ENDSEND START当按下按键1时,如下:可以看到七段数码管显示档位1以及电机转动,电机转速可以达到195转/秒,当按下按键2时,七段数码管显示电路以及电机转动如下: 按下按键三时,显示如下: 当按下3键时,显示如下:01234567D R 01ESET S PA04PA13PA22PA31PA440PA539PA638PA737PB018PB119PB220PB321PB422PB523PB624PB725PC014PC115PC216PC317PC413PC512PC611PC71045AACE=1+195+164SET A CE=1按下Proteus右上角的的ARES,一键切换到生成PCB板模式,将所有器件排列整齐,采用自动布线技术,生成PCB 板如下:2.2实验总结及体会本次实验是以小组形式来完成课程设计,因此有利于培养我们的团队精神,也有利于我们将课堂上所学的理论知识运用到实际中去,当我们自己动手将电机功能实现的时候,对我们是莫大的鼓舞,也激发了我们对于微机原理的兴趣。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
P、L、C、B、E、F、2、6、8
数码管F段
P、L、C、B、E、F、4、5、6、8、9
数码管G段
P、B、E、F、2、3、4、5、6、8、9
网络68为停止程序。
网络69为复位程序。将M.0至M7.7全部复位。
寄存器如表4所示:
表4 对应寄存器表
P
M2.3、M6.1
L
M2.5、M6.3
C
M2.7、M6.5
2.硬件电路的设计
2.1
根据课设要求,由于只是利用PLC控制数码管显示,所以在输入模块设计中只需要设计三个输入量,及启动、停止、复位,分别用I0.0、I0.1、I0.2表示,具体的输入模块分配表1所示。
表1 输入模块分配表
输入点
作用
I0.0
启动
I0.1
停止
I0.2
复位
根据课设要求,是在数码管上显示“1、2、3、4、5、6、7、8、9、P、L、C、B、E、F、9、8、7、6、5、4、3、2、1、P、L、C、B、E、F”,由于数码管是由七段LED灯控制,所以输出模块采用七个输出控制,具体分配如表2所示。
根据课设要求建立数据字典,具体所建数据如图4所示:
图4 数据字典
(3)通信关联
关联方式如图5所示:
图5 通信关联
4.联合调试
当PLC运行的时候,打开组态王监控界面。通过虚拟界面实现对PLC的控制,图5和图6、7分别表示当PLC 启动、停止和复位,PLC与虚拟界面状态显示图。
图5 启动画面
图6停止
图7复位清零
1.2
S7-200系列具有极高的性能价格比。适用于各行各业,各种场合中的检测、监测及控制的自动化。其强大功能使其无论在独立运行中,或相连成网络皆能实现复杂控制功能。另外有极高的可靠性,极丰富的指令集,易于掌握,便捷的操作,丰富的内置集成功能,实时特性,强劲的通讯能力,丰富的扩展模块。
1.3
组态王开发监控系统软件,是新型的工业自动控制系统,它以标准的工业计算机软、硬平台构建的集成系统取代传统的封闭式系统。组态王具有适应性强、开放性好、易于扩展、经济、开发周期短等优点。方便地构成监控画面,并以动画方式显示控制设备的状态,具有报警窗口、实时趋势曲线等,可便利的生成各种报表。它还具有丰富的设备驱动程序和灵活的组态方式、数据链接功能。
表2 输出模块分配表
Q0.0
Q0.1
Q0.2
Q0.3
Q0.4
Q0.5
Q0.6
A
B
C
D
E
F
G
2.2
根据具体的输入模块和输出模块连接硬件线路图如图
3.软件设计
软件设计分为两部分,一部分是
3.
根据本次实验要求,程序流程图如图2所示:

图2 程序流程图
3.2
网络1为启动程序。
网络2至网络60为定时器定时程序,定时时间1秒。如“网络3”,数码管显示2。计时器由38至63、101至134。
1.
1.1
由PLC控制的七段数码管进行循环显示,数码管亮一秒,灭一秒。显示顺序如下:“1、2、3、4、5、6、7、8、9、P、L、C、B、E、F、9、8、7、6、5、4、3、2、1、P、L、C、B、E、F”。
硬件部分采用SIMATIC S7-200系列PLC,通过接线将PLC与数码管挂箱连接起来。
软件部分采用PLC专用的编程软件STEP7,监控系统采用组态王设计虚拟的监控界面,通过通信实时监测PLC的运行状态。
网络61至67为数码管显示程序。如“网络61”,对应译码管A,译码表如表3所示:
表3 译码表
段位
显示数字部分
数码管A段
P、C、B、E、F、2、3、5、7、8、9
数码管B段
P、B、1、2、3、4、7、8、9
数码管C段
B、1、3、4、5、6、7、8、9
数码管D段
L、C、B、E、F、2、3、5、6、8
数码管E段
沈阳航空航天大学
课 程 设 计
(论文)
题目七段数码管显示控制程序设计(三)
班 级24070202
学 号2011040702064
学 生 姓 名姜经纬
指 导 教 师张海军
七段数码管显示控制程序设计(三)
姜经纬 沈阳航空航天大学自动化学院
摘要:本文主要设计一个西门子S7-200系列的PLC数码管显示与上位机监控。按照设计要求利用PLC做出相应的梯形程序图,然后通过合理的硬件线路连接将PLC运行的结果在数码管上正确的显示出来。通过上位机设计组态王监控界面,对PLC控制数码管的显示进行模拟,实现对PLC的监控功能。并对PLC和组态王的应用和特点做了相关介绍。关键字:西门子s7-200;数码管显示;组态王;监控系统。
B
M3.1、M6.7
E
M3.3、M7.1
F
M3.5、M7.3
1
M0.1、M5.7
2
M0.3、M5.5
3
M0.5、M5.3
4
M0.7、M5.1
5
M1.1、M4.7
6
M1.3、M4.5
7
M1.5、M4.3
8
M1.7、M4.1
9
M2.1、M3.7
3.3
首先启动浏览器,新建工程,新建名为“数码管”的工程,进入工程。然后定义外部设备,在工程浏览器的左侧选中“COM1”,在右侧双击“新建”,弹出“设备配置向导”对。在I/O设备列表显示区中,选中PLC设备,单击符号“+”将该节点展开,再选中“西门子”,依次选中“S7-200系列”设备,选中“PPI”。建立数据字典。
5.课设小结及进一步设想
通过这次PLC课程设计,我收获颇多,每天对着电脑,和同学探讨并查阅资料,感到有趣。短暂的单片机学习,有种意犹未尽之感,在这次课设中,加深了单片机相关知识的理解。
根据课设要求及数据字典,绘制组态王监控界面,将数据字典与组态王界面上的相应模块关联在一起,然后进行对PLC运行程序的虚拟监控。界面开关量设计了三个,一个启动,一个停止,一个复位,可以在上位机界面运行的时候对PLC进行控制。如图3所示:
图3 控制界面
图中共有三个按钮,点击启动,数码管开始显示;点击停止,停止显示;点击复位,数码管清零变为初始状态;画面可显示时间日期。
0
随着科学技术的发展,工业控制系统有了飞速的发展。由于PLC具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制系统中。数码管作为最基本的工业控制和显示对象,在PLC的控制工程中也经常出现,并且控制技术也日趋完善。PLC应用领域极为广泛,覆盖所有与自动检测,自动化控制有关的工业及民用领域,包括各种机床、机械、电力设施、民用设施、环境保护设备等等。如:冲压机床,磨床,印刷机械,橡胶化工机械,中央空调,电梯控制,运动系统。本次课设采用的是SIMATIC S7-200系列PLC。本次课程设计能够较好的表达SIMATIC S7-200系列PLC对数码管的自动控制过程。
相关文档
最新文档