基于FGA的通用数控分频器的设计与实现
实验三 数控分频器设计
实验三数控分频器设计【实验目的】1.设计实现一个根据不同的输入,将时钟信号进行分频2.掌握分频计数器类型模块的Verilog描述方法;3.学习设计仿真工具的使用方法;4.学习层次化设计方法;【实验内容】1.用Verilog 语言设计带计数允许和复位输入的数控分频器。
2. 编制仿真测试文件,并进行功能仿真。
3. 下载并验证分频器功能【实验原理】数控分频器的功能是在输入端给定不同数据时,将对输入的时钟信号有不同的分频比,对于一个N分频器,分频出的时钟周期是原时钟周期的N倍,频率变为原来的1/N。
对于一个8位计数器,如果输入数D,然后启动计数器工作,则经过D倍的时钟周期,计数器溢出时,输出pfull变为高电平,再以pfull为敏感信号,对fout进行取反操作,如此N=2D。
计数一次后,再重新计数,反复进行直至输入被赋予新值。
对于数控分频数器,装载不同的计数初始值时,会有不同频率的溢出信号,从而得到不同的输出。
数控分频器是利用计数值可并行预置的加法计数器设计完成的。
【程序源代码】(加注释)module FDIV(clk,fdiv,fout,pfull); //8位二进制的数控分频计input clk; //时钟信号input [7:0] fdiv; //8位加载数据output pfull; //定义一个1位的寄存器型中间控制变量output fout; //分频数据输出reg [7:0] Q; reg fout,full,pfull;always @(posedge clk) begin //clk有上升沿时,启动以下程序if(Q==fdiv) begin Q=0;full=1;end //若Q==fdiv,Q=0,full=1else begin Q=Q+1;full=0;end //若Q!=fdiv,Q累加1,full=0pfull=full;end //将full数据向pfull输出always @(posedge pfull)fout=~fout; //当pfull有上升沿时,对fout取反endmodule【RLT电路】【仿真和测试结果】功能分析:当输入fdiv为预置数“5”时,经过5倍的clk周期,pfull由低电平变为高电平;当pfull有上升沿时,对fout取反fout,因此fout的周期是clk的10倍,即完成对clk的10分频功能。
基于FPG A的数字频率计的设计和实现
进各锁存器REG4B中,并由外部的7段译码器译出,显示 计数值。设置锁存器的好处是,显示的数据稳定,不会由 于周期性的清零信号而不断闪烁。锁存信号之后,必须有 一清零信号RST—CNT对计数器进行清零,为下1秒钟的 计数操作做准备。其工作时序波形如图1所示。
图1 频率计测频控制器测控时序图
1.2设计方法 采用VHL语言设计一个复杂的电路系统,运用自顶
计数,低电平时禁止计数。由顶层原理图可知,可以很容 易地将此频率计扩展为6位、8位,…,即具有很好的可扩
2结 语
充性,而在硬件电路上不做修改。图3是在图2的基础之 上经过改进而成的具有六位显示的数字频率计。 1.4波形仿真测试和频率计设计硬件验证
在完成了图3所示的顶层电路设计后,即可进行波形
采用此种方法设计的数字频率计占用的FPGA芯片 资源较少,完全可以嵌入到FPGA芯片系统资源共用同一 个FPGA芯片,是一个很好的数字频率计设计解决方案。 由此可见,采用这种技术设计的数字电子系统,大大减少
1数字频率计设计实例
数字频率计是计算机、通讯设备、音频视频等科研生 产领域不可缺少的测量仪器。采用VDHL编程设计实现的 数字频率计,除被测信号的整形部分、键输入部分和数码 显示部分以外,其余全部在一片FPGA芯片上实现,整个 设计过程变得十分透明、快捷和方便,特别是对于各层次 电路系统的工作时序的了解和把握显得尤为准确,而且具 有灵活的现场可更改性。在不更改硬件电路的基础上,对
文件中调用。
1.2.2 四位锁存器的设计
在本设计中,首先需要一个锁存信号LOAD的上跳沿
将计数器在前1秒钟的计数值锁存迸各锁存器REG4B中,
其锁存器的VHDL语言编程实现如下:
LIBRARY IEEE; USE IEEE.STD—LOGIC一11 64.ALL; ENTITY REG4B IS
基于FPGA 的任意小数分频器的设计
基于FPGA 的任意小数分频器的设计作者:毛为勇, 祁中洋, 王兰, MAO Wei-yong, QI Zhong-yang, WANG Lan作者单位:桂林电子科技大学,信息与通信学院,广西,桂林,541004刊名:桂林航天工业高等专科学校学报英文刊名:JOURNAL OF GUILIN COLLEGE OF AEROSPACE TECHNOLOGY年,卷(期):2009,14(1)被引用次数:0次1.周冬成;王永斌;郑亚平基于FPGA的小数分频频率合成器设计[期刊论文]-电子测量技术 2006(03)2.王建荣;李竹;汤洪明一种新的基于FPGA的小数分频器的参数化设计[期刊论文]-太原科技大学学报 2007(03)3.王广叉;赵卫华;赵艳秋一种小数分频器的设计及性能分析[期刊论文]-自动化技术与应用 2007(09)4.黄海生一种可控分频比分频器的设计和研宄[期刊论文]-计算机工程与设计 2002(03)5.刘亚海;林争辉基于FPGA的小数分频器的实现[期刊论文]-现代电子技术 2005(03)6.张奇惠;武超基于Verilog HDL的分频嚣的优化设计[期刊论文]-河南大学学报(自然科学版) 2007(04)1.期刊论文周冬成.王永斌.郑亚平.Zhou Dongcheng.Wang Yongbing.Zheng yaping基于FPGA的小数分频频率合成器设计-电子测量技术2006,29(3)文中介绍了一种小数分频频率合成器的设计方案.该方案中的分频部分基于FPGA进行设计与实现,仿真结果表明正确.FPGA在设计方案中的应用使得电路简单且便于二次开发.2.期刊论文王耀琦.王小鹏.王静.WAND Yao-qi.WANG Xiao-peng.WANG Jing基于CPLD/FPGA的任意分频器设计研究与仿真-兰州交通大学学报2010,29(4)在介绍整数分频、半整数分频算法的基础之上,提出了小数分频的基本算法和改进算法,并通过Verilog HDL语言实现了编程,在Quartus Ⅱ环境下进行仿真实验,且在Altera公司的芯片EPM240T100I5上得到了实现.实验结果表明:在CPLD/FPGA上完全可以实现整数和半整数分频,也能实现统计平均意义上的小数分频.3.期刊论文王志国.丁鼎.WANG Zhi-guo.DING Ding HDLC码流高精度时钟恢复电路的FPGA实现-电力系统通信2010,31(9)提出了一种针对HDLC码流的高精度的时钟恢复电路.方案通过FPGA的可编程模块化设计,包含了小数分频、数据边沿采样、硬件倍频PLL及HDLC标志码脉宽测量等关键技术,实现从HDLC数据码流中恢复高精度时钟.经硬件实验验证,性能指标优秀,有较强的实用性,应用广泛.4.期刊论文袁泉.陈晓龙.王家礼.YUAN Quan.CHEN Xiao Long.WANG Jia Li基于FPGA的小数分频实现方法-电子技术应用2010(11)提出了一种基于FPGA的小数分频实现方法.介绍了现有分频方法的局限性,提出一种新的基于两级计数器的分频实现方法,给出该方法的设计原理以及实现框图,利用软件对电路进行仿真,由仿真结果可以看出该方法可有效实现输入信号频率的小数调整,最后分析了方法的优缺点及其应用领域.实验结果表明,设计方法能够高精度地完成对信号频率的微调,并且频率转换时间被缩短到2.56μs.5.期刊论文郭雨梅.周晓章.陈曦.GUO Yu-mei.ZHOU Xiao-zhang.CHEN Xi基于FPGA的新型数字锁相倍频方法-仪表技术与传感器2010(5)为了克服模拟锁相倍频电路在应用过程中易受温度和电压影响、锁相时间长、存在直流零点漂移及部件饱和等缺欠,以实现对被测信号的高速高精度采样,提出了一种基于FPGA的新型数字锁相倍频方法.该方法依据锁相倍频的基本原理,通过检测被测信号的边缘计算出频率值,找到相应的指针位置,再根据产生的分频因子来控制数控振荡器的输出信号,从而完成对被采集信号的锁相倍频.经过仿真分析,验证了该方法的可行性,证明了其具有精度高、锁相速度快等优点.6.期刊论文李娜.杨文荣.曹家麟.LI Na.YANG Wen-rong.CAO Jia-lin基于FPGA的小数频率合成器-微电子学与计算机2005,22(2)文章介绍了采用∑△调制技术的小数频率合成器.为了提高分频信号的质量和减少小数分频器的小数杂散,采用了三阶单环∑△调制技术.本文还提出了采用这种原理的具体电路实现方式.7.期刊论文刘玮.何萱.袁凌云基于FPGA任意分频系统设计-电子产品世界2006(16)本文给出了分频技术通用模型.并结合最新的一些分频技术,提出了一种基于FPGA全新的分频系统的设计方法,简单的介绍了设计的思路、原理及其算法,并对该方案的性能进行了分析,给出了仿真波形,RTL综合电路图.8.期刊论文张横云基于FPGA小数分频频率合成器的设计-内江科技2008,29(9)本文介绍了小数分频频率合成器的原理,在此基础上提出了一种改进的基于FPGA小数分频器的分频原理算法及电路设计.9.学位论文成聪基于FN-PLL的频率合成器2006频率合成器是现代电子系统的重要组成部分,是决定电子系统性能的关键设备之一。
一种基于FPGA的分频器实现
一种基于FPGA的分频器实现
贾米娜
【期刊名称】《机械管理开发》
【年(卷),期】2006(000)001
【摘要】现场可编程门阵列FPGA是近年来迅速发展的可编程ASIC器件,介绍了FPGA的特点和应用范围,并用FPGA设计不同频率和同频率不同相位的分频器,其中FPGA采用VHDL语言编写.
【总页数】2页(P100,102)
【作者】贾米娜
【作者单位】中北大学自动控制系,山西,太原,030051
【正文语种】中文
【中图分类】TN773
【相关文献】
1.一种基于FPGA的分频器的设计 [J], 易欢;肖顺文;李怡琳;杨湲
2.一种基于FPGA的任意分频器设计与实现 [J], 李炯
3.一种新型delta-sigma小数分频器的FPGA实现 [J], 王水鱼;冯晓靖
4.一种新的基于FPGA的小数分频器的参数化设计 [J], 王建荣;李竹;汤洪明
5.一种新的可控分频器的FPGA实现 [J], 王世元;谢开明;石亚伟;陈孟钢;龙正吉因版权原因,仅展示原文概要,查看原文内容请购买。
实验6 数控分频器的设计
实验6 数控分频器的设计(1)实验目的:学习数控分频器的设计、分析和测试方法。
(2)实验原理:数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例6-20所示。
【例6-20】8位数控分频器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT(CLK : IN STD_LOGIC;D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);FOUT : OUT STD_LOGIC);END ENTITY DVF;ARCHITECTURE one OF DVF ISSIGNAL FULL : STD_LOGIC;BEGINP_REG: PROCESS(CLK)VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK = '1' THENIF CNT8 = "11111111" THENCNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8FULL <= '1'; --同时使溢出标志信号FULL输出为高电平ELSE CNT8 := CNT8 + 1; --否则继续作加1计数FULL <= '0'; --且输出溢出标志信号FULL为低电平END IF;END IF;END PROCESS P_REG ;P_DIV: PROCESS(FULL)VARIABLE CNT2 : STD_LOGIC;BEGINIF FULL'EVENT AND FULL = '1' THENCNT2 := NOT CNT2;--如果溢出标志信号FULL为高电平,D触发器输出取反IF CNT2 = '1' THEN FOUT <= '1';ELSE FOUT <= '0';END IF;END IF;END PROCESS P_DIV;END ARCHITECTURE one;(3)分析:根据图6-21的波形提示,分析例6-20中的各语句功能、设计原理及逻辑功能,详述进程P_REG和P_DIV的作用,并画出该程序的RTL电路图。
基于FGA的直接数字频率合成器的优化设计论文
基于FGA的直接数字频率合成器的优化设计论文基于FGA的直接数字频率合成器的优化设计论文摘要:介绍了DDS的基本工作原理,针对传统DDS存在的主要问题,提出了基于流水线结构的累加器和基于波形对称的ROM优化设计,并在开发软件Quartus II上仿真,验证了优化设计的正确性。
不仅提高了系统的运算速度,而且也节省了硬件资源。
关键词:FPGA;DDS;流水线结构;仿真1.引言随着科技的飞速发展,对信号发生器的要求越来越高,传统分立式模拟电路来难满足。
直接数字频率合成法(Direct Digital Frequency Synthesis简称DDFS或DDS)具有频率稳定度高、分辨率高、切换时间短、相位变化连续、易于实现各种数字调制、集成度高等特点,能很好的满足各种需求。
因此,DDS技术在通信、雷达、电子对抗、仪器测试等领域都有广泛的应用。
专用DDS芯片在控制方式、频率控制等方面不灵活,很多时候不能满足系统的要求,利用FPGA来设计符合自己需要的DDS 系统就是一个很好的解决方法。
2.DDS的工作原理DDS是利用数字相位累加产生线性变化的数字相位输出信号,通过波形数据查找表,获得对应于相位信号的数字化幅度信号,再通过数模转换器(DAC)获得模拟信号输出。
一个基本的DDS系统由基准时钟fclk、相位累加器、相位/幅值查找表(ROM)、数模转换器(DAC)及低通滤波器(LPF)组成,如图1所示。
工作原理:预先在ROM中存入所需波形的幅度编码,每来一个时钟信号,N位的相位累加器将频率控制字K累加,同时累加器输出序列的高M位去寻址相位/幅值查找表,得到一系列离散的幅度编码(Y 位)。
该幅码经数模转换后得到模拟的阶梯电压,再经低通滤波器平滑后,就可得到所需要的波形信号。
DDS的输出信号频率fout=K·fclk/2N,频率分辨率为Δfout=foutmin/2N,实际最高输出频率取foutmax=fclk×40%,相对带宽为foutmax/foutmin=2N×40%。
基于FPGA的数控分频器的实现
V 1 8 N o 1 0 1
电 子
与 封
装
ELECTR0NI CS & PACKAGI NG
总 第6 7期 20 0 8年 1 1月
电 路 设 计
基 于 F G 的数控 分频 器的 实现 P A
庄 海 军 ,林 咏 海
( 江苏省电子产 品装备制造工程技术研究开发中心 ,江苏 准安 2 3 0 ) 2 o 3
us 1yde i e y h r w a ec r ui i h a tt b an t e d sr d fe u n y Th ntge qu nc ua 1 sgn d b a d r ic t n te p s O O t i h e ie } q e c . e i e rfe e y
2 整数分频器的 VHD L设计
在数字 电路 中,对于 2分频 、4分频 、8分频等 2的整 数次 方的 分频 ,其 硬件 电路 实现时也 非 常简 单 ,只需 要相 应地 计数器就 可 以实现 了。 比如在实 现 四分频 时 ,只需要 设计一 个 4进 制计 数器就 可以 了 ,在输 入端加 上时 钟信号 ,则在 计数 器的 最高位
软件 和 AL E T RA 公 司的 F E 系列 E F1 Kl L 44型 F GA 方 便 地 完 成 了各 种 类型 分 频 比 电路 的 LX P 0 0 C8 . P
设计。
关键词 :F GA;数控 分频 器;数字逻辑 电路 P
中图分类号 : N4 2 T 0
文献标识码 :A
频器是 集成 电路 中最 基础也 是最 常用的 电路 。整数
分频 器的实现 比较 简单 ,可采用标 准 的计数 器或可 编程逻辑 器件 设计 实现 。但 在某 些场合 下 ,时 钟源 与所 需的频率 不一 定成整 数倍 的关系 ,此时 则需要 采用 小数分频 器进行 分频 。但用 传统 的硬件 电路实 现小 数分 频时 会 变得 比较 麻烦 。 为避 免这 些 缺点 ,
基于FPGA的任意数值分频器的设计
基于FPGA 的任意数值分频器的设计周殿凤,康素成,王俊华(盐城师范学院物理科学与电子技术学院,江苏省盐城市224002)摘 要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3类分频:整数分频、小数分频和分数分频。
所有分频均通过VHDL 语言进行了编译并且给出了仿真图。
本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A 资源少。
本设计在A l 2tera 公司的Cycl one Ⅱ系列EP2C35型FPG A 芯片中完全可实现,结果表明设计是正确和可行的。
由于分频器应用非常广泛,故本设计具有很强的实用价值。
关键词:FPG A;VHDL;分频器中图分类号:TP277收稿日期:2009212220;修回日期:2010201209。
基金项目:江苏省高校自然科学基金资助项目(08KJD140005);盐城师范学院校级基金资助项目(09YCK L016)。
0 引 言FPG A 作为未来数字系统的3大基石(FPG A 、DSP 、CP U )之一,成为目前硬件设计研究的重点[1]。
在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频和分数分频等。
在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。
由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单,但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更困难[2]。
为了解决这一问题,本文首先分析了各种分频器的设计方法,然后将其综合起来成为一个通用分频器。
通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。
1 整数分频器偶数分频器的设计较为简单,可以利用计数器实现[3]。
假设要实现m =2n 分频,当计数器的值为0~j -1时,输出时钟为1,计数器的值为j ~2n -1时,输出时钟为0,在计数器值为2n -1时复位计数器,如此循环下去,即可实现占空比为j/2n 的分频,m 和j 都是预置数,j 用于调节占空比,m 调节分频系数。
基于ASIC的数控分频器设计
基于ASIC的数控分频器设计
引言
本文提出了一种基于ASIC的数控分频器设计方案。
该方案利用ASIC技术,实现高速、低功耗、高可靠性、低成本的数控分频器,能够满足现代信号处理系统的需求。
设计原理
该方案采用高速数字信号处理器作为控制器,通过外部触发信号输入控制,控制器发送控制信号来控制数字电路分频器。
数字电路分频器使用高速逻辑单元实现高速低功耗的分频操作。
系统架构
该系统的架构图如下所示:
![系统架构图](system_architecture.png)
其中,数字信号处理器采用ASIC实现,高速逻辑单元采用FPGA实现,两者之间通过高速传输接口进行通信。
ASIC设计流程
ASIC设计流程如下:
1. 设计电路原理
2. 进行电路原理仿真
3. 设计电路布局
4. 进行电路布局仿真
5. 生成masks,并进行芯片制造
6. 进行芯片测试
FPGA设计流程
FPGA设计流程如下:
1. 设计逻辑原理
2. 进行逻辑原理仿真
3. 设计逻辑布局
4. 进行逻辑布局仿真
总结
本文提出的基于ASIC的数控分频器设计方案,采用高速数字信号处理器作为控制器,通过外部触发信号输入控制,控制器发送控制信号来控制数字电路分频器。
数字电路分频器使用高速逻辑单
元实现高速低功耗的分频操作。
该设计方案具有高速、低功耗、高可靠性、低成本等优点,能够满足现代信号处理系统的需求。
数控分频器的设计PPT课件
实验步骤
3、将设计项目设置成工程文件 将数控分频器设置为工程文件。 4、选择目标器件并编译
首先在Assign选项的下拉菜单中选择器件选择项Device, 此窗口的Device Family是器件序列栏, 应该首先在此拦中选定目标器件对应的序列名,为了选择 EPF1K30TC144-3器件,应将此栏下方标有 Show only Fastest Speed Grades的勾消去, 以便显示出所有速度级别的器件。完成器件选择后,按OK键。
日本通产省1991年开始启动一项为期10年、 耗资250亿日元 的微型 大型研 究计划 ,研
制两台样机,一台用于医疗、进入人 体进行 诊断和 微型手 术,另 一台用 于工业 ,对飞 机发动 机和原 子能设 备的微 小裂纹 实施维 修
。该计划有筑波大学、东京工业大学 、东北 大学、 早稻田 大学和 富士通 研究所 等几十 家单位 参加。
梁、执行机构以及微型泵、微型喷嘴 、湿度 、流量 传感器 以及多 种光学 器件。 美国加 州理工 学院在 飞机翼 面粘上 相当数 量的1mm
的微梁,控制其弯曲角度以影响飞机 的空气 动力学 特性。 美国大 批量生 产的硅 加速度 计把微 型传感 器(机械 部分) 和集成 电路(电
信号源、放大器、信号处理和正检正 电路等) 一起集 成在硅 片上3m m×3m m的 范围内 。日本 研制的 数厘米 见方的 微型车 床可加 工精
微型机械在国外已受到政府部门、
企业界、高等学校与研究机构的高度 重视。 美国MIT、Ber keley、 Stanfor d\AT&T的15名科学 家在上 世纪八 十年代 末提出" 小机器
、大机遇:关于新兴领域--微动力学 的报告" 的国家 建议书 ,声称" 由于微 动力学 (微系 统)在美 国的紧 迫性, 应在这 样一个 新的重
实验六数控分频器的设计
可编程逻辑设计——实验六报告学院:物理与信息工程学院专业:通信工程年级:2007级班级:二班学号:110700221姓名:林明明指导老师:杨秀芝实验六数控分频器的设计一、实验目的:学习数控分频器的设计和测试方法。
二、实验原理:数控分频器的功能为在不同输入信号时,对时钟信号进行不同的分频,在输出端输出不同频率的信号。
该电路可以用具有并行预置功能的加法计数器实现,方法是对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。
用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数。
电路输出波形图:三、实验内容:1)根据实验原理画出电路框图,并计算在不同预置数时输出信号的频率与时钟频率的比值。
2)编写实现数控分频器的VHDL程序。
要求输出信号的占空比尽量为50%。
提示:可以将计数器溢出信号输出给一个翻转触发器,溢出信号的边沿作为触发器的触发信号,触发器的输出就是分频器的输出(注意计数器初始计数值与输出频率之间的关系)。
3)用QuartusII对设计进行编译、综合、仿真,给出仿真波形和时序分析数据。
4)通过QuartusII集成环境,将设计下载到实验电路上进行硬件测试。
输入不同的clk信号和不同的输入控制信号,测试输出波形。
管脚锁定:clk clk1 43D(3) PIO23 30 SW1D(2) PIO24 35 SW2D(1) Pio25 36 SW3D(0) PIO26 37 SW4Fout PIO19 29 LED12四、思考题:如果需要进行奇数分频(如3分频),能否够保持输出波形的占空比为50%?如果不能,如何使占空比尽量接近50%;如果可以,应如何做?五、实验结果:数控分频器的原理框图:数控分频器输出频率与输入时钟的关系:答:数控分频器输出频率与输入时钟频率成正比。
对于相同的计数器初始计数值,如果时钟频率变大(或缩小)为原来的n倍,那么数控分频器输出频率也将变大(或缩小)为原来的n倍。
实验五 EDA 数控分频器的设计
实验五数控分频器的设计一、实验目的1、学习数控分频器的设计、分析和测试方法。
2、了解和掌握分频电路实现的方法。
3、掌握EDA技术的层次化设计方法。
二、实验原理数控分频器的功能就是当输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计完成的,方法是将计数溢出位与预置数加载输入信号相接得到。
三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入八位的按键开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,过到数控分频的效果。
在实验中时,数字时钟选择2HZ作为输入的时钟信号,用八个按键开关做为数据的输入,当八个按键开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用输出端口接LED灯来观察频率的变化。
四、实验步骤1、打开QUARTUSII软件,新建一个工程。
2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。
3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序.4、 编写完VHDL 程序后,保存起来。
方法同实验一。
5、 对自己编写的VHDL 程序进行编译并仿真,对程序的错误进行修改。
6、 编译仿真无误后,依照按键开关、LED 与FPGA 的管脚连接表(表1-1、表1-2)或参照附录进行管脚分配。
表5-1是示例程序的管脚分配表。
分配完成后,再进行全编译一次,以使管脚分配生效。
端口名 使用模块信号 对应FPGA 管脚说 明 INCLK CLOCK2 17 时钟为10KHZDATA0 键1 1 分频比数据 DATA 1 键2 2 DATA 2 键3 3 DATA 3 键4 4 DATA4 键5 5 DATA 5 键6 6 DATA 6 键7 7 DATA 7 键8 10 FOUTLED 灯 D111分频输出 表5-1 端口管脚分配表7、 “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。
基于FPGA和DDS的数控信号源的设计与实现
De in o eDii lCo to in lS u c s d o P sg ft gt n r lS g a o r eBa e n F GA n h a a d DDS Te h oo y c n lg
专 用芯 片并 不具备 L M 功能 , F 而且 只能 以 固定 的方 式 工作 , 因此第 一 种方 案 缺 乏 灵 活性 。第 二 种 方 案 涉及
电路或 L C振荡 电路 实 现 , 电路 构 造 复杂 、 率 范 围较 频 窄、 精度 和稳定 度 较低 、 调 节不方 便 、 且 电路易 于 损坏 、 维 护 困 难 。 目前 直 接 数 字 频 率 合 成 器 D S( ic D Dr t e Dga FeunySnhse) 一 种 主 流 的频 率 源合 itl rqec ytei r 是 i z 成 技术 。D S具 有频 率分 辨率 高 、 率切换 时 间短 、 D 频 相 位 变换 连续 、 可靠 性高 等优 点 。现有 基 于 D S技 术 的 D 信 号源 实现方 案 可 分 为两 大 类 : 1 以 D S专 用 芯 片 () D 为核心 , 片机为 控制模 块 ¨ 。 ( ) F G 为核 心 单 2 以 PA 完 成 D S功 能 , 片 机 作 为 控 制 部 分 J 由于 D S D 单 。 D
w v .T e ̄e u n yo ahw v a eajs db edgtl o t l to .T i sg a su c a ea vna ae h q e c f c a ecnb du t yt ii nr h d hs i l o reh st d a t— e e h a c o me n h
数控分频器设计实验报告
实验目的与要求实验名称:数控分频器设计实验目的:将4位计数器改成8位计数器形式,完成全部仿真测试和硬件测试内容实验原理给定计数模N,当计数到N时,对计数器发出一个清零信号,使其从头开始计数,以此循环往复如果控制的是计数器的同步清零端,则为计数器的同步清零模式.如果控制的是异步清零端,则为计数器的异步清零模式.对于给定的模M,当计数满到溢出时,或限制其计数到某一数值时,发出一个信号,控制计数器的加载预置端,使计数器加载M,如果控制的是计数器的同步加载端,则为同步加载模式,如果控制的是计数器的异步加载端,则为异步加载计数模式1、实验内容编辑和输入设计文件新建文件夹——输入源程序——文件存盘源程序A、module FDIV0(CLK,PM,D,DOUT,RST);input CLK;input RST;input[7:0]D;output PM;output [7:0] DOUT;reg[7:0]Q1;reg FULL;(*synthesis,keep*)wire LD;always @(posedge CLK or negedge RST)beginif(!RST)begin Q1<=0;FULL<=0;endelse if(LD)begin Q1<=Q1+1;FULL<=0;endendassign LD=(Q1==8'B11111111);assign PM=FULL;assign DOUT=Q1;endmoduleB、module fdiv01(CLK,PM,D,DOUT,RST);input CLK;input RST;input[7:0]D;output PM;output [7:0] DOUT;reg[7:0]Q1;reg FULL;(*synthesis,probe_port,keep*)wire LD;always @(posedge CLK or posedge LD or negedge RST )begin if(!RST)begin Q1<=0;FULL<=0;endelse if(LD)begin Q1<=D;FULL<=1;endelse begin Q1<=Q1+1;FULL<=0;endendassign LD=(Q1==8'B00000000);assign PM=FULL;assign DOUT=Q1;endmoduleC、module fdiv02(CLK,PM,D);input CLK;input [7:0] D;output PM;(*synthesis,probe_port,keep*)[7:0] Q1;reg FULL;(*synthesis,probe_port,keep*)wire RST;always @ (posedge CLK or posedge RST)beginif (RST)begin Q1<=0;FULL<=1;endelse begin Q1<=Q1+1;FULL<=0;endendassign RST = (Q1==D);assign PM=FULL;endmoduleD、module fdiv03(CLK,PM,D);input CLK;input [7:0] D;output PM;(*synthesis,probe_port,keep*)[7:0] Q1;reg FULL;(*synthesis,probe_port,keep*)wire RST;always @ (posedge CLK)beginif (RST)begin Q1<=0;FULL<=1;endelse begin Q1<=Q1+1;FULL<=0;endendassign RST = (Q1==D);assign PM=FULL;endmodule2、总结与体会创建工程打开并建立新工程管理窗口——将设计文件加入工程中——选择目标芯片——工具设置——结束设置3、全程编译前约束项目设置选择FPGA目标芯片——选择配置器件的工作方式——选择配置器件和编程方式——选择目标器件引脚端口状态——选择Verilog语言版本4、全程综合与编译Processing——Start Compilation启动全程编译5、仿真测试AB、C、D实验总结与体会通过这次实验学会了将4位计数器改成8位计数器形式,完成全部仿真测试和硬件测试内容。
实验五数控分频器的设计
IF CNT2='1' THEN FOUT<='1';
ELSE FOUT<='0';
END IF;
END IF;
END PROCESS P_DIV;
END;
课后预习
下次实验做实验指导书上的实验7(P57) 设计程序可参考EDA实验教材P196-198中的内容
表1 简谱中音名与频率的关系
2、实验内容及步骤
(参照实验教材P53 实验 3 中的内容) 设计程序可参考EDA实验教材P191-192中 的内容
实验报告要求
写出实验目的、设计要求、设计源程 序,波形仿真,并详述硬件实验过程和实验 结果。
提示:
建议选实验电路模式5,输出FOUT 接扬 声 器 ( SPKER : PIN 3 ) , 时 钟 CLK 接 clock0(引脚号为2),用短路帽选65536Hz信号, 键1~键8负责输入预置数D。
P_DIV:PROCESS(FULL)—由于P_REG进程数控 分频输出的FULL是脉宽极窄的脉冲波,为便于 驱动扬声器, P_DIV进程为一个二分频计数器, 使到达扬声器的波形为对称方波。
VARIABLE CNT2:STD_LOGIC;
BEGIN
IF FULL'EVENT AND FULL='1'
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PULSE IS PORT(CLK:IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); FOUT:OUT STD_LOGIC); END; ARCHITECTURE ONE OF PULSE IS SIGNAL FULL:STD_LOGIC; BEGIN
基于FPGA的通用数控分频器的设计与实现
基于FPGA的通用数控分频器的设计与实现
本文首先介绍了各种分频器的实现原理,并在FPGA开发平台上通过VHDL文本输入和原理图输入相结合的方式,编程给出了仿真结果。
最后通过对各种分频的分析,利用层次化设计思想,综合设计出了一种基于FPGA的通用数控分频器,通过对可控端口的调节就能够实现不同倍数及占空比的分频器。
1.引言
分频器是数字系统中非常重要的模块之一,被广泛应用于各种控制电路中。
在实际中,设计人员往往需要将一个标准的频率源通过分频技术以满足不同的需求。
常见的分频形式主要有:偶数分频、奇数分频、半整数分频、小数分频、分数分频。
在某些严格的情况下,还有占空比的要求。
其中非等占空比的偶数分频器和奇数分频器其实现比较容易,但对于半整数分频器和占空比为50%的奇数分频器实现比较困难。
本文首先介绍了各种分频器的实现原理,并结合VHDL硬件描述语言对其进行了仿真,最后提出一个可控的通用分频器的设计方法,该方法可实现任意分频,资源消耗低,具有可编程等优点。
2.偶数分频器
偶数分频器比较简单,即利用计数器对需要分频的原始时钟信号进行计数翻转。
例如:要进行M=2N(N为自然数)分频,当计数值为0~k-1时,输出高
电平,当计数值为k-1~2N-1时输出低电平,同时计数值复位,如此循环可实
现任意占空比的偶数分频,其中M和k为预置数,可根据分频倍数和占空比的要求进行置数。
如图1所示,当k=N时,即可实现占空比为50%的偶数分频。
基于FPGA的分频法FSK调制器的设计与实现
基于FPGA的分频法FSK调制器的设计与实现
贾雅琼
【期刊名称】《《可编程控制器与工厂自动化(PLC FA)》》
【年(卷),期】2008(000)001
【摘要】针对FSK信号的特点,提出了基于FPGA的FKS调制器的一种实现方法--分频法,这种方法利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,产生一种相位连续的FSK信号,而且原理通俗易懂,电路结构简单,容易实现。
【总页数】3页(P72-74)
【作者】贾雅琼
【作者单位】湖南工学院电气与信息工程系
【正文语种】中文
【中图分类】TN911
【相关文献】
1.基于CORDIC算法2FSK调制器的FPGA设计 [J], 雷能芳
2.基于PC104总线的2FSK调制器的设计与实现 [J], 关进辉;石春和;何远辉
3.4FSK数字化调制器的FPGA设计与实现 [J], 周鹏
4.基于FPGA的2FSK数字调制器设计 [J], 柯磊
5.基于DDS的FH/FSK调制器的FPGA实现 [J], 王钧铭
因版权原因,仅展示原文概要,查看原文内容请购买。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
D 触发 器的输 出信号Q 反馈 回来作为输入信 号, 将模N 计数器输 出信 号的最高位作为D 触发器 的 时钟信号 。 其顶 层原理 图如 图5 所示 。其 中a 为分频模 式选 择,当a = O 0 时进行偶数 分频; 当a = O 1 时进 行 占空 比为非5 0 % 奇数分 频 ;当a = l O 时进行 占 空 比为5 0 % 的 奇数 分屏 ;当a = l 1 时进 行半整 数 分频 J 。端 口M 的作用是控制分 频数 。端 HK 的 作 用是进行偶数 ( M = 2 N )和奇数 ( M = 2 N + I )分 频 时,调节 占空 比,当k = N 时 ,占空 比为5 o 9 6 。 6 . 结论 薯 一 ~ 本 设计的最终 目的是实现分频器 的通 用性 : 一 , 一 和 实用性 ,本设计 中控 制端 口M 、i 、以及计 数 图3半整数分频器原理图 器N 的位 宽均 另外,不难发现此原理 图还可以实现 占空 采 用参数化设计思想 ,用户根据 需要对 其 比为5 0 % 的2 M 一 1 倍 的奇 数分频 当M = 3 时,其仿 稍加 修改就可满足不 同的分频需求 ,在一 定程 2 . 偶 数 分频 器 真结果 如图4 所示 。原 理图的输 出端 口q 即为 占 度上 实现 了通用性 。 偶 数 分 频 器 比较 简 单 , 即 利 用 计 数 器 空 比为5 o 9 6 的5 分频 ,输 出端 HC 为2 . 5 分频 。 参考文献 对 需 要分 频 的原 始 时钟 信 号进 行计 数翻 转 。 ‘ ; i } . 。 ~ 一 一 萋 。 : l f : ÷ i_ — = 二 。 : 曼 。 鼻: 三 { = : = ij 二号 ■ 【 1王耀琦, 1 1 王小鹏, 王静. 基于C P L D / F P G A 的任意分频器 例 如 : 要进 行 M = 2 N ( N 为 自然 数 ) 分频 ,当 计 ; 设计研 究与仿真U 】 . 兰州大学学报, 2 0 1 0 , 2 9 ( 4 ) : 9 — 1 3 , 数 值 为O  ̄k - 1 时 ,输 出高 电平 ,当计 数值 为 :.......... . . .. . .. . .. 一 . .. . .. .. . .. . .. . . .. . .. . . , . 一 .. . . . .. . . . .. . . 图4半 整数 ( 2 . 5 )分频器仿真结果 【 2 ] 周 殿凤, 康 素成, 王俊华. 基于F P G A 的任意数值分频器 k - 1  ̄2 N - 1 时输 出低 电平 ,同时计数 值复 位, 的设计信 息化研 究U 】 . 2 0 1 0 , 3 6 ( 2 ) : 5 9 — 6 1 . 如此 循环可 实现任意 占空比的偶数分频 ,其中 5 . 数控通用分频器 的实现 1 3 】 潘松, 黄 继业. E DA 技术 实用教程【 M】 . 北京: 科 学出版 M 和k 为预置 数,可根据分频倍 数和 占空比的要 综 上 ,利 用模N 计数器 、脉冲 添加 电路 , 2 0 0 6 . 求进 行置数 。如图l 所示 ,当k = N 时 ,即可 实现 以及控 制模块 即可 实现 占空 比可 调的通 用分频 社 , 占空 比为 5 0 % 的偶数 分频…。 4 1 高凡, 贾磊磊. 基于F P G A  ̄半整数分频器的设计卟 科 器 。在 具体设计过程 中可采用层次化 的设计方 【 2 0 1 0 , 1 9 : 1 3 3 — 1 3 4 . 巨 { … _ _。 一} i ” … … 齄 … 州舰 … n帆 … m 一 兀f 一 I 婴 加 Hr 一 一 法 。 首先 ,设 计通 用 分频 器 中各 组成 电路 元 技 信 息, ! l l 。 一 . , 1 , 1 一 厂 一 _ 一 1 一 , ■ 厂1. _ _ _ — 一 . r 【 5 】 毛为勇, 祁 中洋, 王兰. 基于F P G A 的任意小数分频器的 件 ,然 后通过元件例 化的方法 ,调用 各元件 , 图1占空比为5 0 % 的4 分频仿真结 果 实现通用分频器 。 设计 桂林航 天工业高等专科学校学报, 2 0 0 9 . 1 ( 5 3 ) : 3 0 —
1 . 引 言
分频 器是 数 字 系统 中非 常 重要 的模 块 之 被 广 泛应 用 于各 种 控制 电路 中。在 实 际 中,设计人员往 往需要将一个 标准的频率源 通 过分 频技术 以满 足不同 的需求 。常见 的分频 形 式 主 要有 :偶 数 分频 、 奇数 分 频 、半整 数 分 频 、小数分频 、分数分频 。在 某些严格 的情 况 下 ,还有 占空 比的要求 。其 中非等 占空 比的偶 数分 频器和奇数 分频器其实现 比较容 易,但 对 于 半整数 分频 器和 占空 比为5 0 % 的奇 数分频 器 实现 比较 困难。 本文首先介绍 了各种分频器 的实现 原理, 并 结合V H D L 硬件 描述语 言对 其进行 了仿真 ,最 后 提出一个 可控 的通用分频 器的设计方法 ,该 方法 可实现任 意分频 ,资源 消耗低 ,具有 可编 程等优 点。
警或峙
… … … … … … … … … … 一
鳗 廑用一 lபைடு நூலகம்
基 于F P GA的通 用数 控 分频 器 的设 计 与实现
西华师范 大学物理与电子信 息学院 张建妮 陆晓燕
【 摘要 】本文首先介绍 了各种分 频器 的实现原理 ,并在F P G A ̄ - 发平 台上通过V HD L 文本 输入 和原理图输入相结合 的方 式,编程给 出 了仿真结果 。最后通过 对各种分频的 分析 ,利用 层次化设计 思想 ,综合设计 出了一种基 f - F P G A ̄通用数 控分 频器 ,通过对 可控端 口的调节就 能够实现不 同倍数及 占空比的分 频器。