电子技术实验大作业实验报告 上海交通大学
电子技术实验报告二

电子技术实验报告二电子技术实验报告二近年来,电子技术的发展迅猛,给人们的生活带来了巨大的改变。
作为一名电子技术专业的学生,我有幸参与了一项关于电子电路的实验。
在这次实验中,我们探索了电路的基本原理和实际应用,深入了解了电子技术的精髓。
实验的第一部分是关于电路的基本组成元素的研究。
我们使用了电阻、电容和电感等元件,通过连接它们,构建了不同类型的电路。
通过改变电路中的元件数值和连接方式,我们观察到了电流、电压和功率的变化规律。
这让我对电路的工作原理有了更深入的理解。
在实验的第二部分,我们学习了放大电路的设计和应用。
我们使用了运放和晶体管等元件,构建了放大器电路。
通过调整电路中的参数,我们实现了对输入信号的放大。
这让我认识到了放大器在各个领域的广泛应用,如音频放大器、射频放大器等。
放大器的设计和优化是电子技术领域的重要研究方向之一,它对于提高信号质量和传输距离具有重要意义。
实验的第三部分是关于数字电路的研究。
我们学习了数字电路的基本逻辑门,如与门、或门和非门等。
通过连接这些逻辑门,我们构建了简单的数字电路,如加法器和计数器。
数字电路在计算机、通信和控制系统中起着重要的作用。
通过这次实验,我更加深入地了解了数字电路的原理和应用。
在实验的最后一部分,我们学习了模拟与数字转换技术。
我们使用了模数转换器和数模转换器,将模拟信号转换为数字信号,并将数字信号转换为模拟信号。
这项技术在音频、视频和通信等领域有广泛的应用。
通过这次实验,我对模拟与数字转换技术有了更深入的了解。
通过这次实验,我不仅学到了电子技术的基本原理和实际应用,还培养了动手实践和问题解决的能力。
在实验过程中,我们遇到了各种各样的问题,如电路连接错误、元件故障等。
但是通过团队合作和反复实验,我们成功地解决了这些问题,并得到了准确的实验结果。
这让我明白了在电子技术领域中,实践和创新是非常重要的。
总结起来,这次电子技术实验让我对电路的工作原理、放大器的设计、数字电路的应用以及模拟与数字转换技术有了更深入的了解。
四位二进制数可控加减法 上海交通大学电子技术实验大作业

《四位二进制数可控加减法》实验报告实验名称: 四位二进制数可控加减法姓名:学号:班级:目录一、实验方案 (3)二、设计思路................................................................................ 错误!未定义书签。
三、程序代码................................................................................ 错误!未定义书签。
四、调试问题 (6)五、心得感想 (7)一、实验方案1)基本功能实现两个四位二进制数的加减法运算,能够在led灯和数码管显示出结果。
2)清零功能利用一个微动开关,当微动开关按下时结果清零显示。
3)数码管显示将结果转换为七段显示器显示。
将运算结果输送到数码管中。
利用到人的视觉误差和短暂延时显示四位运算结果。
4)溢出问题若有溢出,则数码管显示“E”。
二、设计思路基本功能中分为连个模块,主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。
扩展功能中数码管显示要利用暂留现象,因此利用时钟clk来进行设计。
三、程序代码module show_sub(input [1:0]num,output reg [6:0] a_to_g );always @(*)case(num)2'b00: a_to_g=7'b1000000;2'b01: a_to_g=7'b1111001;2'b10: a_to_g=7'b1111111;2'b11: a_to_g=7'b0000110;default: a_to_g=7'b0000110;endcaseendmodulemodule show_top(input clk,clr,input wire [7:0] sw,input plus,sub,output wire [6:0] a_to_g,output reg [3:0] an,output reg [3:0] led );reg [15:0] clk_cnt;wire [1:0]s;reg [3:0] result; //运算结果reg [1:0] res;reg flag; //溢出标志wire [3:0] data1;wire [3:0] data2;assign data1=sw[7:4];assign data2=sw[3:0];assign s=clk_cnt[15:14];always @(posedge clk)beginclk_cnt=clk_cnt+1;endalways@(posedge plus or posedge sub or posedge clr)。
运放应用二 上海交通大学电子技术实验

运放应用实验(二)
uA741 1235 4
7
86
+12V
Vi-Vi+
OUT
三、积分器实验
1.按右图接线,输入1KHz、峰峰值为1V的方波信号。
用示波器双踪显示输入、输出波形并记录。
注意300KΩ的电阻先要加上,不能用万用表来测量数据,输入、输出波形画在同一时间轴上。
幅度的测量要用标尺来测。
不能直接从示波器上读。
2.输入信号幅度同上,改变信号频率为2KHz、500Hz,观察并记录输出幅度,比较它们之间的数量关系,需将300KΩ的电阻加上。
3. 维持输入频率1KHz,改变信号幅度为2V、500mV,观察并记录输出信号的幅度,与输入信号幅度相比较,需将300KΩ的电阻加上。
4. 实验内容同实验1,但需将300KΩ的电阻去掉,记录输入、输出波形。
四、微分器实验
按右图接线,输入信号为1KHz、半峰值为30mV的小
方波信号。
观察输入、输出波形,用双踪示波器同时显
示。
改变输入方波的频率,观察并记录波形。
报告电子版-上海交通大学

项目名称:计算机控制小车走迷宫小组编号:M06设计小组名单:摘要:本项目采用ATMEL公司的AT89S52芯片作为处理芯片,实现小车在计算机控制下走出迷宫的功能。
使用一辆小车、一台PC及摄像头。
利用摄像头将迷宫的信息拍摄成图片,传送给计算机,计算机通过OPEN CV图像处理,计算出小车走出迷宫的最短路径,通过串口模块将信息传递给小车,使之以最短的路径走出迷宫。
关键词:小车、迷宫、计算机、OPEN CV目录一. 整体介绍 (1)二. 硬件部分 (3)三. 软件部分 (7)四. 系统测试 (10)五. 心得体会 (11)六. 致谢 (12)七. 附录 (13)一.整体介绍由摄像头(建议使用USB接口)捕捉迷宫的信息以及迷宫内内小车的位置情况,通过串口线传送至电脑里编写的上位机软件,软件通过图像识别找出当前小车的位置信息及迷宫的信息,经过计算,作出控制决策,生成控制信号,并经通讯模块发送至小车。
小车上的控制电路对控制信号作出相应反应,驱动电机。
使用硬件材料:89S52单片机控制的小车、RS232有线收发模块、USB转RS232串口线、计算机、摄像头项目组成1、图像处理摄像头监小车位置,通过图像处理的手段发布指令。
其中的具体项目包括图像实时采集,图像处理——预处理,形状检测,小车跟踪,摄像机标定及最优线路生成。
2、串行通信计算机与小车之间的通信为串行通信(有线),实现PC与单片机的通信。
由摄像头(USB接口)实时捕捉迷宫内小车的位置情况,通过USB线缆传送至电脑里编写的上位机软件,软件通过图像识别找出当前小车的位置信息及迷宫的信息,经过计算,作出控制决策,生成控制信号,并经通讯模块发送至小车。
3、单片机部分具体包括闭环控制算法,小车电机控制。
二.硬件部分硬件介绍小车分为三个部分,主板部份、车体部份和控制芯片部份。
主板部分负责接受8V直流电源,并向小车的电机提供8V电压,向电路板上的芯片提供工作电压。
车体部份主要为上层芯片和整体提供支撑,它的电机为小车提供动力前进。
大二电子技术实验报告

大二电子技术实验报告一、实验目的本次电子技术实验旨在加深学生对电子电路理论的理解,通过实际操作来掌握电子元件的识别、电路的搭建与调试,以及电路故障的诊断与排除,培养学生的实践能力和创新思维。
二、实验原理电子技术实验涉及基本的电子元件,如电阻、电容、二极管、三极管等,以及它们的电路连接方式和工作原理。
通过实验,学生将学习到如何使用这些元件构建简单的电路,并理解这些电路的工作原理和特性。
三、实验设备与材料1. 多功能数字万用表2. 电阻、电容、二极管、三极管等电子元件3. 面包板及连接线4. 电源5. 示波器(可选)四、实验步骤1. 根据实验指导书的要求,识别所需的电子元件,并检查它们的规格是否符合实验要求。
2. 使用面包板和连接线搭建电路,确保电路连接正确无误。
3. 打开电源,观察电路的工作状态,记录实验数据。
4. 若电路未能正常工作,使用万用表进行故障诊断,找出问题所在并进行修复。
5. 调整电路参数,观察电路性能的变化,记录不同参数下的实验数据。
五、实验结果与分析在本次实验中,我们成功搭建了基本的放大电路,并进行了性能测试。
通过调整电路中的电阻值,我们观察到了放大倍数的变化。
实验数据表明,电阻值的增加会导致放大倍数的减小,这与理论预期相符。
在故障诊断过程中,我们发现了一个连接错误,并及时进行了修正,使电路恢复了正常工作。
六、实验总结通过本次电子技术实验,我们不仅加深了对电子电路理论的理解,而且提高了实际操作能力。
实验过程中遇到的问题和挑战,锻炼了我们分析问题和解决问题的能力。
此外,实验还激发了我们对电子技术的兴趣,为今后的学习和研究打下了良好的基础。
七、实验心得在本次实验中,我深刻体会到了理论与实践相结合的重要性。
通过亲自动手搭建电路,我对电子元件和电路的工作原理有了更加直观的认识。
同时,我也认识到了细心和耐心在实验过程中的重要性,任何一个小小的疏忽都可能导致实验的失败。
在未来的学习中,我将继续培养自己的实践能力和创新思维,为成为一名优秀的电子工程师而努力。
和电子技术有关的实验报告

和电子技术有关的实验报告实验名称:电子技术基础实验实验目的:1. 理解电子元件的基本特性和工作原理。
2. 掌握电路设计和搭建的基本方法。
3. 学习使用电子测量仪器进行电路参数测试。
实验原理:电子技术是研究电子器件及其电路的科学。
本次实验主要涉及电阻、电容、电感等基本电子元件的特性,以及它们在电路中的作用。
通过实验,学生将了解这些元件的工作原理,并学会如何将它们应用于实际电路设计中。
实验设备与材料:1. 多用电表2. 电阻、电容、电感元件3. 面包板及连接线4. 信号发生器5. 示波器实验步骤:1. 电阻特性测试:使用多用电表测量不同电阻值的电阻器,记录测量结果,并分析电阻对电流的影响。
2. 电容充放电特性测试:搭建RC电路,使用信号发生器提供周期性信号,通过示波器观察电容的充放电过程。
3. 电感特性测试:构建含有电感的电路,测量电感对交流信号的阻抗,并分析电感对电路的影响。
4. 电路设计:根据给定的电路图,使用面包板和连接线搭建电路,并进行实际测试,验证电路设计的正确性。
实验结果:1. 电阻测试结果表明,电阻值与通过电阻的电流成反比,符合欧姆定律。
2. 电容测试结果展示了电容在充放电过程中的电压变化,符合电容的充放电公式。
3. 电感测试结果表明,电感对交流信号的阻抗与频率成正比,验证了电感的特性。
4. 电路设计测试结果符合预期,电路能够正常工作,达到了设计要求。
实验结论:通过本次实验,我们验证了电阻、电容、电感等基本电子元件的特性,并通过实际电路搭建和测试,加深了对电子技术原理的理解。
实验过程中,学生学会了使用电子测量仪器,提高了电路设计和分析的能力。
实验心得:在本次实验中,我深刻体会到了理论与实践相结合的重要性。
通过亲自动手搭建电路,我对电子元件的工作机制有了更加直观的认识。
同时,实验过程中遇到的各种问题也锻炼了我的问题解决能力。
希望在未来的学习中,能够将这些知识应用到更复杂的电子系统中。
注:本实验报告为示例文本,实验数据和结果需要根据实际实验情况进行调整和补充。
电子技术实训_实验报告

本次实验旨在通过实践操作,培养学生的动手能力,加深对电子技术理论知识的理解,掌握电子元器件的识别、工具的使用、仪器的操作,以及电子设备的制作、调试和故障排除方法。
同时,提高学生的团队协作能力和实际解决问题的能力。
二、实验器材1. 电烙铁:外热式电烙铁,功率30W,烙铁头为铜制。
2. 螺丝刀、镊子、一字螺丝刀、十字螺丝刀等工具。
3. 锡丝、铜丝、松香、导线、剥线钳等焊接材料。
4. 练习印制板、电子元器件(如电阻、电容、二极管、三极管等)。
5. 相关实验项目所需的电路板。
三、实验内容1. 电子元器件的识别与检测(1)观察电子元器件的形状、颜色、标识等信息,了解其名称、规格和功能。
(2)使用万用表测量电子元器件的电阻、电容、二极管、三极管等参数,验证其性能。
2. 焊接技术与电路板制作(1)学习电烙铁的使用方法,掌握焊接技巧。
(2)按照电路图设计,合理布局电子元器件,连接好电路。
(3)使用电烙铁焊接元器件,注意焊点质量。
(4)完成电路板制作,确保电路连接正确。
3. 电路调试与故障排除(1)根据电路图,设置电路参数,观察电路性能。
(2)使用示波器、万用表等仪器检测电路输出波形、电压、电流等参数。
(3)分析电路故障原因,采取相应措施进行排除。
1. 电子元器件的识别与检测(1)仔细观察电子元器件的形状、颜色、标识等信息,了解其名称、规格和功能。
(2)使用万用表测量电子元器件的电阻、电容、二极管、三极管等参数,验证其性能。
2. 焊接技术与电路板制作(1)学习电烙铁的使用方法,掌握焊接技巧。
(2)按照电路图设计,合理布局电子元器件,连接好电路。
(3)使用电烙铁焊接元器件,注意焊点质量。
(4)完成电路板制作,确保电路连接正确。
3. 电路调试与故障排除(1)根据电路图,设置电路参数,观察电路性能。
(2)使用示波器、万用表等仪器检测电路输出波形、电压、电流等参数。
(3)分析电路故障原因,采取相应措施进行排除。
五、实验结果与分析1. 电子元器件的识别与检测实验过程中,成功识别了多种电子元器件,并使用万用表对其参数进行了测量,验证了其性能。
电子技术 实验报告

电子技术实验报告电子技术实验报告引言:电子技术是现代社会中不可或缺的一部分,它涵盖了各个领域,从通信到计算机,从医疗到娱乐。
在这个实验报告中,我们将探讨一些基本的电子技术实验,包括电路设计和分析,以及电子元件的特性和应用。
实验一:简单电路的设计与分析在这个实验中,我们将学习如何设计并分析一个简单的电路。
我们选择了一个简单的放大器电路作为例子。
首先,我们需要选择合适的电子元件,如电阻、电容和晶体管。
然后,我们将根据电路的要求计算各个元件的数值。
接下来,我们将使用模拟电路仿真软件进行电路模拟,并分析输出信号的幅度和相位。
实验二:电子元件的特性与应用在这个实验中,我们将研究一些常见的电子元件,如二极管、三极管和集成电路。
我们将学习它们的特性和应用。
例如,二极管可以用作整流器,将交流信号转换为直流信号。
三极管可以用作放大器或开关。
集成电路则可以实现复杂的功能,如计算、存储和通信。
实验三:数字电子技术的实践数字电子技术在现代社会中扮演着重要的角色。
在这个实验中,我们将学习数字逻辑门电路的设计和分析。
我们将使用逻辑门电路实现一些基本的逻辑功能,如与门、或门和非门。
我们还将学习如何使用触发器和计数器构建时序电路,如时钟和计时器。
实验四:通信电子技术的应用通信电子技术是现代通信系统的基础。
在这个实验中,我们将学习一些基本的通信电子技术,如调制解调、编码解码和信号处理。
我们将使用模拟信号和数字信号进行实验,并研究它们在传输过程中的特性和失真情况。
我们还将学习一些基本的通信协议,如调幅调频和蓝牙。
实验五:电子技术在医疗领域的应用电子技术在医疗领域中发挥着重要的作用。
在这个实验中,我们将探讨一些电子技术在医疗设备中的应用。
例如,心电图机可以通过电极和放大器来检测心脏的电信号,并将其转换为可视化的图形。
血压计可以使用传感器和微处理器来测量血压。
我们还将学习一些基本的生物传感器技术,如体温计和血糖仪。
结论:通过这些实验,我们深入了解了电子技术的基本原理和应用。
上海交大 模电实验大作业

全波整流电路
1.系统名称:全波整流电路
2.系统功能:对交流电压进行整流。
把输入的小交流电压转换成直流电压,该输
出保留了输入电压的形状,仅仅改变了输入电压的相位。
本系统利用全波整流,利用了交流电压的两个半波,提高了整流器的效率,并使已整电流易于平滑。
因此本系统可以应用于许多需要进行整流的电路中。
3.设计用到的芯片及元件:
uA741(两块)、100Ω电阻(1)、1K Ω电阻(2)、5K Ω电阻(1)、二极管(1)
4.设计思路:系统的模块图如下所示:
全波整流电路图如图一所示:
图一 全波整流系统电路图
当Ui>0时,Uo2<0,此时二极管D1截止,所以我们可以得到Up1=Un2=Ui ,所以此时经过R1和R2的电流相同,由此可以推出Uo=Ui 。
当Ui<0时,Uo2>0,此时D1导通,Up1=Un2=Up2=0,所以Uo=-R2*Ui/R1=-Ui , 所以本系统可以实现全波整流。
交流信号输入 全波整流电路
直流输出
仿真结果:
(a)输入为正弦波:
输入波形:
图二正弦波输入波形输出波形:
输入和输出:
图四正弦波整流输入和输出波形(b)输入为三角波:
输入波形:
输出波形:
图六三角波整流输出波形输入和输出波形:
图七三角波整流输入和输出。
上海交通大学本科学位课程 电路实验 运算放大器与受控电源

① 给定 u1=2.0V ,R1=R2=R3=2kΩ ,测定RL由0~3kΩ
变化时,i1、u2 的值 。(由此算得 i2 值),并计算
β。
R2
i2
R1 i1 m A
RL u2
8
u1 R3
图5.2.13 CCCS实验电路
给定值 RL / Ω 1k
2k
3k u1=2.0V ,R1=R2=R3=2kΩ
RL / Ω
测试值 i1 / mA
u2 / V
i2 / mA 计算值
β
实测
u1 = R1 = R2 = R3 =
② 令RL=2kΩ,R1接可调电阻箱,测量电流控制型 电流源的特性
给定值
R1 /Ω 1k 2k 4k 8k
i1 /mA
CCCS 测试值 i2 / mA
u2 /V
实验报告
1. 计算各受控源的 µ 、gm、γ m 和 β 。 2. 分析各受控源的伏安特性。
运算放大电路如图5.2.9(a)所示。由于正相输
入端“+”接地,“-”端虚地,电路中d点的电压
为 ud = −iR1 R1 = −i1R1 ,电流为
iR2
= − ud R2
= i1
R1 R2
,输
出端电流
i2
= iR1
+ iR2
= i1 + i1
R1 R2
= (1+
R1 R2
)i1
,即输出电流i2
受输入电流i1控制,与负载电阻无关。输出电流比
由于运算放大器的“虚地”特性,流过电阻R的
电流即为输入电流i1。运算放大器的输出电压为
u2 = −i1R ,即输出电压u2受输入电流i1控制。转移电
上海交通大学本科学位课程 电路实验 运算放大器与受控电源

运算放大电路如图5.2.9(a)所示。由于正相输
入端“+”接地,“-”端虚地,电路中d点的电压
为 ud = −iR1 R1 = −i1R1 ,电流为
iR2
= − ud R2
= i1
R1 R2
,输
出端电流
i2
= iR1
+ iR2
= i1 + i1
R1 R2
= (1+
R1 R2
)i1
,即输出电流i2
受输入电流i1控制,与负载电阻无关。输出电流比
运算放大器的输出电流i2受输入电流i1控制,与
负载电阻RL无关。输出电流比为 β = i2 = 1+ R1
i1
R2
六、实验数据记录
1. 测定电压控制型电压源的特性。 给定R1=R2=1kΩ,测定VCVS性能,并计算μ
给定值
u1/ V 0.5
1.0
1.5
2.0
2.5 R1 = R2 = 2kΩ
测试 值
1. 电压控制型电压源(VCVS ):
由于运算放大器的输入端“+”、“- ”为虚短
路,所以 u+ = u− = u1,
iR2
=
u+ R2
=
u− R2
又由于运算放大器的虚断特性,故有: iR1 = iR2
考虑以上关系有:
u2
=
iR2 R1
+ iR2 R2
=
iR2
( R1
+
R2 )
=
u1 R2
( R1
+
给定值
RL / V 1k 2k 3k 4k 5k u1 = 2.0V , R = 2kΩ
实验步骤E107d050804-上海交通大学(精)

E107d050504
1、熟悉电子仪器的使用及接线方法,并把不同数值
R、C相串联的时间常数τ 的计算值填入表5.5.1。 20KΩ 100µF 10µF 1µF 10KΩ 5.1KΩ
实验步骤
E107d050504
2、观察RC电路中的充放电电流、充放电电压波形 按图 5.5.1 所示接线,调节电源电压为 10V ,取时 间常数为1s(R=10kΩ C=100μ f),把时间扫 描速率置于500ms,Y轴倍率置于2V/cm ,输入耦合 置于“ DC” 。观察电流波形时,把电阻两端电压 接到CH输入端,可观察到电容器充放电电流(即 R 两端电压)波形;观察电压波形时,把电容两 端电压接到CH输入端,可观察到电容器充放电电 压波形。改变电路参数,使时间常数为0.5s、0.2s 时,重复上述步骤,并将观察到的波形记录下来。
实验步骤
E107d050504
3、观察RC电路在方波激励下的响应 按图5.5.4接线,针对微分电路和积分电路, 通过改变RC值或改变方波周期T值,观察 RC< T /10,RC≈T及RC>10T情504
4、应用Multisim仿真软件,重复步骤2、3进行 仿真实验,比较实验测量结果与仿真结果。
上海交大电力电子技术实验4

实验四 绝缘栅双极型晶体管(IGBT)特性与驱动一、 实验目的1.熟悉IGBT 主要参数与开关特性的测试方法。
2.掌握混合集成驱动电路EXB840的工作原理与调试方法。
二、 实验内容1.IGBT 主要参数测试。
2.EXB840性能测试。
3.IGBT 开关特性测试。
4.过流保护性能测试。
三、 实验主要仪器设备1.MCL-07电力电子实验箱中的IGBT 与PWM 波形发生器部分。
2.双踪示波器。
3.毫安表 4.电压表 5.电流表6.MCL 系列教学实验台主控制屏 四、 实验示意图R 1S 22C 2C 13+5VR 1S 2R 2RP 61255557834PWM35+&&R 314321VD 1L 119+5VS 15++13R 51221&IGBT+5VR 2R 14S 142667EXB84014R 3159C 110VD 1R 411RPR 3R 2C 2R 615V+S16172014VD 2+18V1819R 7五、实验有关原理及原始计算数据,所应用的公式绝缘栅极双极型晶体管是双极型电力晶体管和MOSFET的复合。
IGBT是Insulated Gate Bipolar Transistor的缩写。
电力晶体管饱和压降低,载流密度大,但驱动电流较大。
MOSFET驱动功率很小,开关速度快,但导通压降大,载流密度小。
IGBT综合了以上两种器件的优点,驱动功率小而饱和压降低。
IGBT的开关作用是通过加正向栅极电压形成沟道,给PNP晶体管提供基极电流,使IGBT导通。
反之,加反向门极电压消除沟道,流过反向基极电流,使IGBT关断。
IGBT的驱动方法和MOSFET基本相同,只需控制输入极N一沟道MOSFET,所以具有高输入阻抗特性。
当MOSFET的沟道形成后,从P+基极注入到N一层的空穴(少子),对N一层进行电导调制,减小N一层的电阻,使IGBT 在高电压时,也具有低的通态电压。
电子技术实验大作业实验报告 上海交通大学

电子技术实验大作业实验报告1.实验名称四位二进制数的可控加法/ 减法2.设计思路本作业主要完成的是四位二进制数的可控加法。
实现了附加功能中的在四位数码管上显示和数值溢出的显示功能。
本作业主要由两个模块组成,一个是主程序模块,负责较简单的加法运算;另外一个是a_to_g子程序模块,输入一个4位二进制数字,用来把数字显示在数字管上。
数值溢出则是看cout位是否为1,为1则溢出。
3.程序代码`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company:// Engineer://// Create Date: 05:40:39 04/18/2014// Design Name:// Module Name: adder// Project Name:// Target Devices:// Tool versions:// Description://// Dependencies://// Revision:// Revision 0.01 - File Created// Additional Comments:////////////////////////////////////////////////////////////////////////////////////module adder(input [3:0]sw1,sw2,//input button,input clk,output [6:0]dp_a_to_g,output [3:0]out0);reg cout;reg [3:0]sum;always @(sw1 or sw2)begin{cout,sum}=sw1+sw2;enda_to_g ATOG(.sw(sum),.clk(clk),.dp_a_to_g(dp_a_to_g),.out0(out0),.cout(cout)); endmodulemodule a_to_g(input [3:0]sw,input clk,input cout,output reg [6:0]dp_a_to_g,output reg [3:0]out0);integer num;integer s;integer i;initial begini=0;endalways @(posedge clk)begini=i+1;if (i[16:14]>3) i=0;s=i[15:14];if (~cout) begincase(s)0:out0=4'b0111;1:out0=4'b1011;2:out0=4'b1101;3:out0=4'b1110;endcasecase(s)0:num=sw[0];1:num=sw[1];2:num=sw[2];3:num=sw[3];endcaseendelse beginout0=4'b0000;num=2;endendalways @(*)case(num)0:dp_a_to_g=7'b0000001;1:dp_a_to_g=7'b1001111;2:dp_a_to_g=7'b0110000;default: dp_a_to_g=7'b1111111;endcaseendmodule4.实验心得让四位数码管循环显示时,如果设定的循环间隔太短,则因为毛刺等之类的原因,会使整个数码管亮起来,人眼只能看到四个八。
电子技术实验报告

电子技术实验报告电子技术实验报告引言:电子技术是现代社会中不可或缺的一部分,在各个领域都有广泛的应用。
本实验旨在通过对电子技术的实践操作,加深对电子原理的理解,并探索其在实际应用中的潜力。
本文将从实验的背景、实验目的、实验步骤、实验结果和结论等方面进行论述。
实验背景:电子技术是通过操控电子流来实现信息的传输、处理和存储的技术。
在现代科技发展中,电子技术已经渗透到各个领域,如通信、计算机、医疗、航空航天等。
了解和掌握电子技术的基本原理和实践操作,对于我们未来的学习和工作都具有重要意义。
实验目的:本实验的主要目的是通过实践操作,加深对电子技术的理解。
具体目标包括:1. 掌握基本的电子元器件的使用方法,如电阻、电容、二极管等;2. 理解电路的基本原理,包括串联电路和并联电路等;3. 学会使用示波器和万用表等仪器进行测量和分析。
实验步骤:1. 实验前准备:确认实验所需的电子元器件和仪器是否齐全,检查电路连接是否正确。
2. 搭建电路:根据实验要求,搭建所需的电路。
在搭建过程中,要注意电路的连接是否牢固,元器件的极性是否正确。
3. 测量参数:使用万用表和示波器等仪器,对电路中的电压、电流等参数进行测量。
在测量过程中,要注意仪器的使用方法和测量精度。
4. 数据记录:将测得的数据记录下来,包括电压、电流、频率等参数。
同时,要注意记录实验中的观察现象和问题。
5. 数据分析:根据实验数据,进行数据分析和处理。
可以使用图表、计算等方法,对数据进行可视化和定量化的分析。
6. 结果验证:将实验结果与理论预期进行对比,分析实验误差的原因,并提出改进措施。
实验结果:根据实验数据和分析结果,我们得出以下结论:1. 在串联电路中,电流保持不变,电压按照电阻的大小分配;2. 在并联电路中,电压保持不变,电流按照电导的大小分配;3. 二极管具有单向导电性,可以用作整流器和开关等电路;4. 电容可以储存电荷,用于滤波和延时等应用。
结论:通过本次实验,我们深入了解了电子技术的基本原理和实践操作。
实验五反馈放大器一 上海交通大学电子技术实验

实验五 负反馈放大器一
实验内容
1. 调整各级静态工作点
取Ic 1=1.7mA ,I c2=2mA 。
测定各级V B 、V C 、V E 、V CE
2. 测定二级电压串联负反馈电路开环与闭环时中频段1KH Z 、30~40Mv (信号源输出为半峰)负载时和空载时的电压放大倍数,用示波器观察波形,保持V B 点电压为小信号。
开环:K22与K14相连。
闭环:K22与K12相连。
2.测定负载开环、闭环时的输入电阻,并加以比较。
3.计算输出电阻(开环、闭环)。
3.测量负反馈对放大倍数稳定性的影响
将电源电压降到10V ,测量负载时开环与闭环输出电压,算出由于电源电压下降而引起开环、闭环放大倍数相对变化,比较两者的稳定性。
负。
电子技术实训报告

电子技术实训报告
我是一名学习电子技术的学生,在学习过程中,我们有一项非常重要的任务就是进行实训。
这次实训让我对电子技术有了更深入的了解和认识。
在我们的实训中,我们首先学会了使用各种电子元器件,例如LED灯、电阻和电容等。
这些基础的元器件是电子技术的基石,我们不仅了解了它们的使用方法,还学会了它们的特性和性能。
接着,我们学习了各种电路,从简单的串并联电路到复杂的数字电路,我们锻炼了自己的思维和动手能力。
在实验过程中,我们发现电路中的每一个元器件都是重要的,它们各自扮演着不同的角色,在电路中相互作用。
同时,我们也发现了电路设计的重要性,我们通过仿真软件和实际电路构建来进行实验,了解了电路的性能和特性。
在实验过程中,我们也解决了许多问题,例如如何选择合适的元器件和如何调整电路参数等。
此外,在实训课程中,我们还学习了如何使用各种测试仪器,
例如示波器和万用表等。
这些测试仪器不仅可以帮助我们了解电
路的性能,还可以帮助我们优化电路设计。
在实训中的经验和知识对我未来的发展有着非常重要的意义。
首先,我确信我将在未来的学习和工作中需要电子技术的知识。
其次,通过实验实践,我也会更加了解电子技术的实际应用,并
且可以更好地仿真、设计和调试电路。
总的来说,这次电子技术实训对我来说是一次非常宝贵的经历。
不仅让我更深入地理解了电子技术的知识,而且也为我未来的学
习和工作打下了坚实的基础。
我相信在以后的学习和工作中,我
会运用这些经验和知识,做得更好。
电子技术实验报告

实验名称:数字电路基础实验实验日期:2023年3月15日实验地点:电子实验室一、实验目的1. 熟悉数字电路的基本原理和基本元件。
2. 掌握常用数字电路的组装和调试方法。
3. 培养动手能力和实验操作技能。
4. 增强对数字电路在实际应用中的认识。
二、实验原理数字电路是利用数字信号进行信息处理的电路。
本实验主要涉及以下基本原理:1. 数字电路的基本逻辑门:与门、或门、非门、异或门等。
2. 逻辑门组合电路:半加器、全加器、译码器、编码器等。
3. 逻辑门应用电路:计数器、触发器、移位寄存器等。
三、实验器材1. 实验板:1块2. 逻辑门芯片:若干3. 电阻:若干4. 电容:若干5. 电源:1台6. 信号发生器:1台7. 示波器:1台8. 测量仪器:1套四、实验内容1. 逻辑门电路实验(1)搭建与门、或门、非门、异或门等基本逻辑门电路。
(2)观察并记录输入信号与输出信号的关系。
(3)分析实验结果,验证逻辑门电路的功能。
2. 逻辑门组合电路实验(1)搭建半加器、全加器、译码器、编码器等组合电路。
(2)观察并记录输入信号与输出信号的关系。
(3)分析实验结果,验证组合电路的功能。
3. 逻辑门应用电路实验(1)搭建计数器、触发器、移位寄存器等应用电路。
(2)观察并记录输入信号与输出信号的关系。
(3)分析实验结果,验证应用电路的功能。
五、实验步骤1. 准备实验器材,检查实验板和芯片是否完好。
2. 根据实验要求,搭建逻辑门电路、组合电路和应用电路。
3. 连接电源和测量仪器,确保电路连接正确。
4. 依次输入不同的输入信号,观察并记录输出信号。
5. 分析实验结果,验证电路功能。
6. 整理实验器材,填写实验报告。
六、实验结果与分析1. 逻辑门电路实验实验结果显示,与门、或门、非门、异或门等基本逻辑门电路的功能与预期相符。
当输入信号满足逻辑关系时,输出信号符合逻辑运算规则。
2. 逻辑门组合电路实验实验结果显示,半加器、全加器、译码器、编码器等组合电路的功能与预期相符。
上海交通大学实习报告

学生实习报告实习单位: 密码学与计算机安全实验室实习时间: 2015.7 至 2015.8 学院(系): 电子信息与电气工程学院专业: 计算机科学与技术学生姓名: 姚晨立学号: 51203091192015年 9月 4 日实习报告主要内容包括实习目的与任务、实习单位、实习内容、实习收获等第一周周记第一周进行了与谷老师的第一次沟通,谷老师给我布置任务,任务的内容是研究“云管端安全架构”,任务分为三个阶段,第一个阶段是查找资料,第二个阶段是分析比较,第三个阶段是提出自己的建议。
第一周首先进行了资料的查询,主要手段是通过互联网查找,第一周找到了华为的一份云管端的安全架构报告,和一份谷歌云安全白皮书。
接下来进行了报告的阅读工作。
第二周周记第二周继续查询资料,了解了一下针对云安全的攻击方式,和一些网络安全和终端安全的方案。
查询到云安全的主要攻击方式是DDOS攻击,研究了趋势科技的网络安全策略,还有symantec的安全防火墙策略。
又查找到IBM和DELL的云的简单架构。
第三周周记第三周开始进行各家公司的方案对比工作,根据华为提出的终端—网络—平台,数据—管理的架构,比较google的云安全白皮书,分析两家公司不同之处,提出自己的一些建议,和见解。
第四周周记第四周向导师汇报,通过PPT的形式,向导师汇报研究成果,导师也之处其中问题,并布置后续研究方向,和指导意见。
总结报告本次暑期实习研究的课题是“云管端安全架构的分析和研究”。
课题背景:随着云技术的普及,越来越多的APP都是由客户端与云端交互实现的,而业界并没有对云管端协同安全的一个标准,所以希望比较各家公司的方案,提出一个相对更加安全的解决方案。
项目流程:查询资料——> 分析比较——> 提出方案——>汇报方案,提出进一步研究方向项目总结:云管端总体架构:一、平台与数据安全1.基本架构2.1 CPU虚拟化2.2 内存虚拟化2.3 存储虚拟化2.4 网络虚拟化SHANGHAI JIAO TONG UNIVERSITY 学生实习报告用纸3 cloudOS安全3.1 软件安全3.2 硬件安全4. 数据安全4.1 虚拟机的隔离:VLAN隔离4.2 虚拟机的隔离:安全组隔离4.3 硬件网关虚拟化4.4 软件虚拟防火墙5. google的方案存储管理:Google应用使用一个分布式文件系统,用来储存横跨很多电脑的大量数据。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术实验大作业实验报告
1.实验名称
四位二进制数的可控加法/ 减法
2.设计思路
本作业主要完成的是四位二进制数的可控加法。
实现了附加功能中的在四位数码管上显示和数值溢出的显示功能。
本作业主要由两个模块组成,一个是主程序模块,负责较简单的加法运算;另外一个是a_to_g子程序模块,输入一个4位二进制数字,用来把数字显示在数字管上。
数值溢出则是看cout位是否为1,为1则溢出。
3.程序代码
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 05:40:39 04/18/2014
// Design Name:
// Module Name: adder
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module adder(
input [3:0]sw1,sw2,
//input button,
input clk,
output [6:0]dp_a_to_g,
output [3:0]out0
);
reg cout;
reg [3:0]sum;
always @(sw1 or sw2)begin
{cout,sum}=sw1+sw2;
end
a_to_g ATOG(.sw(sum),.clk(clk),.dp_a_to_g(dp_a_to_g),.out0(out0),.cout(cout)); endmodule
module a_to_g(
input [3:0]sw,
input clk,
input cout,
output reg [6:0]dp_a_to_g,
output reg [3:0]out0
);
integer num;
integer s;
integer i;
initial begin
i=0;
end
always @(posedge clk)
begin
i=i+1;
if (i[16:14]>3) i=0;
s=i[15:14];
if (~cout) begin
case(s)
0:out0=4'b0111;
1:out0=4'b1011;
2:out0=4'b1101;
3:out0=4'b1110;
endcase
case(s)
0:num=sw[0];
1:num=sw[1];
2:num=sw[2];
3:num=sw[3];
endcase
end
else begin
out0=4'b0000;
num=2;
end
end
always @(*)
case(num)
0:dp_a_to_g=7'b0000001;
1:dp_a_to_g=7'b1001111;
2:dp_a_to_g=7'b0110000;
default: dp_a_to_g=7'b1111111;
endcase
endmodule
4.实验心得
让四位数码管循环显示时,如果设定的循环间隔太短,则因为毛刺等之类的原因,会使整个数码管亮起来,人眼只能看到四个八。
而设定的频率太低的话,则无法造成人眼短暂停留视觉的效果,而是一个一个亮。
选择正确的频率才能得到正确的结果。
做此次试验的最大心得就是编程序得走一步看一步,按模块功能一块一块做。
做完一块,检查一块。
之前一开始我选的题目是数字时钟,一鼓作气把功能大部分都写出来之后,发现了一堆bug,改了好几个小时都没有办法排除,只能重新做。
很可惜浪费了时间。
第二个体会是感受到了高级语言和verilog这种硬件描述语言的差异所在。
对于一般使用的高级语言来说,有成熟的封装和函数,以及各种各样的数据类型,使用起来比较容易上手。
而对verilog来说,因为跟硬件更为靠近,很多时候不能光码代码,还得想想能否实验。
而且各种规则也不尽相同,很容易做无用功。
需要从低级到高级循序渐进
的学习,以为掌握一种语言就可以吃遍天下的想法是幼稚的。
学习路上没有捷径,今天在学习verilog的路上真可是又复习了一遍这个人生哲理了!
module adder(number1,number2,sum,overflow);
input [3:0] number1;
input [3:0] number2;
output [3:0] sum;
output overflow;
wire c1,c2,c3;
assign sum[0]=number1[0] ^ number2[0];
assign c1 = number1[0] & number2[0];
assign sum[1]=number1[1]^number2[1]^c1;
assign c2 = (number1[1] & number2[1]) | (number1[1] & c1) | (number2[1] & c1);
assign sum[2]=number1[2]^number2[2]^c2;
assign c3 = (number1[2] & number2[2]) | (number1[2] & c2) | (number2[2] & c2);
assign sum[3]=number1[3]^number2[3]^c3;
assign overflow= (number1[3] & number2[3]) | (number1[3] & c3) | (number2[3] & c3);
endmodule。