深圳大学数字实验报告

合集下载

数字电路实验二--译码器实验报告深圳大学--郭治民

数字电路实验二--译码器实验报告深圳大学--郭治民

深圳大学实验报告实验课程名称:数字电路与逻辑设计实验项目名称:译码器学院:计算机与软件学院专业:计算机科学与技术报告人:郭治民学号: 2011150117 班级: 3 同组人:姜峰指导教师:李琰实验时间: 2012-10-23实验报告提交时间: 2012-11-05教务处制实验报告包含内容一、实验目的与要求1.了解和正确使用MSI组合逻辑部件;2.掌握一般组合逻辑电路的特点及分析、设计方法;3. 学会对所设计的电路进行静态功能测试的方法;4. 观察组合逻辑电路的竞争冒险现象。

二、实验说明译码器是组合逻辑电路的一部分。

所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。

译码器分成三类:1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。

如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。

2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。

3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。

3、实验设备1. RXB-1B数字电路实验箱2.器件74LS00 四2输入与非门74LS20 双4输入与非门74LS138 3线—8线译码器四、任务与步骤任务一:测试3线—8线译码器74LS138逻辑功能将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。

A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。

Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。

深圳大学实验报告模板

深圳大学实验报告模板

原 始 记验报告
课程名称:
实验项目名称:
学 院:
专 业:
指导教师:
报告人:
学号:
班级:
实验时间:
实验报告提交时间:
教务部制
1、实验目的: 2、实验原理:
3、实验装置、设备及试剂: 4、实验步骤:
5、实验记录:
6、数据处理分析:
7、实验结论: 8、思考题:
指导教师批阅意见:
□ 没有误差来源分析
4、结论及总结讨论(该部分应该简明扼要列举主要结论):
□ 结论完整
□ 结论不全
□ 没有列出主要结论
□ 不是结论
5、思考题: □ 思考题完整、正确 □ 思考题有误
□ 思考题不完整 □ 没有思考题
成绩评定:
备注:
指导教师签字: 年月日
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。 2、教师批改学生实验报告时间应在学生提交实验报告时间后 10 日内。
1、实验报告的完整性(完整的实验报告应该包括实验目的、实验原理、实验装置设
备及试剂、实验步骤、实验记录、数据处理及误差分析、结论和原始数据等部分):
□ 实验报告完整
□ 实验报告不完整
2、图表的规范性(表应该采用三线表,有表头,有编号;图应该用 origin 软件进行
绘制,有图释,有编号):
□ 图表绘制规范
□ 图表绘制规范尚可
□ 图表绘制不规范
3、实验数据的处理和误差分析(原始数据有效数字正确;数据处理有计算过程、
文字解释或/和说明、图表等;误差分析应该包括误差计算和误差来源分析):
□ 数据处理合理
□ 误差分析合理
□ 数据处理较合理
□ 误差来源分析较合理

数字电路实验一异或门与非门实验报告深圳大学

数字电路实验一异或门与非门实验报告深圳大学

深圳大学实验报告
课程名称:数字电路实验
实验项目名称:门电路逻辑功能及测试
学院:
指导教师:
报告人:学号:班级:
实验时间:
实验报告提交时间:
教务部制.
数据处理分析:
以A、B为自变量,Y为应变量得以下的真值表:
A B Y Uy(直流电压值)
0.148 0 0 0
3.515 1 1 0
0.128 0 0 0
3.513 0 1 1
0.122 0 0 0
0.163
1
1
1
得当A和B之中有一个或一个以上个为高电平时,Y得高电平,满足异或的逻辑功能。

根据表1得,当为高电平时,Vz在3.4到3.6V之间;当为低电平时,Vz在0.1到0.2V之间。

数据及波形记录:
S端为0电平时输入端A和输出端Y的波形:
S端为1电平时输入端A和输出端Y的波形:
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

日内。

10、教师批改学生实验报告时间应在学生提交实验报告时间后 2.。

VHDL第一次实验报告

VHDL第一次实验报告

VHDL第一次实验报告深圳大学实验报告课程名称:EDA技术实验项目名称:基本电路行为的描述学院:信息工程学院专业:电子信息工程指导教师:报告人:学号:班级: 2实验时间:实验报告提交时间:2014年5月9日教务部制实验内容:1 多路选择器(习题2.1)2 ROM(习题3.4)3 简易加法器(习题3.5)4 通用译码器(习题4.4)5 第五章习题5.1、5.5、5.6、5.7、5.8 实验要求:1.依次完成各电路功能的VHDL代码编写 2.完成相应电路仿真,并对仿真结果截图,截图中要求尽可能多的体现不同输入信号对应的输入结果 3.完成实验报告,并按时提交至Blackboard,实验报告见实验报告模板,要求按模板各项内容完成。

4.特别提示:实验报告按模板内容逐项填写,要求有完整的VHDL代码、仿真测试文件(VHDL test bench)、仿真结果截图、仿真结果分析、实验结论(或对实验的总结、心得体会)等内容。

实验过程及内容:2.1 多路选择器多路选择器的顶层电路如图P2.1所示。

根据真值表,如果输入sel=“01”或者sel=“10”,那么输出将等于对应的某一输入(c=a或c=b).然而如果输入sel=“00”或者sel=“11”,那么输出将分别为‘0’和‘Z’(高阻)。

(a)填写表格,完成下面的代码。

(b)是对你的解答给出相关的注释。

(c)将代码编译后进行仿真,验证其正确性。

实验完整VHDL代码:library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux is Port ( a : in STD_LOGIC_VECTOR(7 DOWNTO 0); b : in STD_LOGIC_VECTOR(7 DOWNTO 0); sel : in STD_LOGIC_VECTOR(1 DOWNTO 0); c : out STD_LOGIC_VECTOR(7 DOWNTO 0)); end mux; architecture example of mux is begin PROCESS (a,b,sel) begin IF (sel=\ c ‘U’); END IF; END PROCESS; end EXAMPLE; 仿真测试文件代码:LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY Test_Mux IS END Test_Mux; ARCHITECTURE behavior OF Test_Mux IS COMPONENT mux PORT( a : IN std_logic_vector(7 downto 0); b : IN std_logic_vector(7 downto 0); sel : IN std_logic_vector(1 downto 0); c : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal a : std_logic_vector(7 downto 0) := (others => ‘0’); signal b : std_logic_vector(7 downto 0) := (others => ‘0’); signal sel : std_logic_vector(1 d ownto 0) := (others => ‘0’); --Outputs signal c : std_logic_vector(7 downto 0); -- No clocks detected in port list. Replace below with -- appropriate port name BEGIN -- Instantiate the Unit Under Test (UUT) uut: mux PORT MAP ( a => a, b => b, sel => sel, c => c ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. a<=\ b<=\ sel <=\ wait for 100 ns; sel <=\ wait for 100 ns; sel <=\ wait for 100 ns; sel <=\ wait for 100 ns; -- insert stimulus here wait; end process; END; 仿真结果:如图,当输入信号sel为“00”时,输出信号c为“00000000”;当输入信号sel为“01”时,输出信号c等于a即为“10101010”;当输入信号sel为“10”时,输出信号c等于b即为“11110000”;当输入信号sel 为其他情况时,输出信号c等于自己设定的值,在此处即为“U”。

深圳大学算法设计与分析杨煊实验二

深圳大学算法设计与分析杨煊实验二

深圳大学实验报告课程名称:算法设计与分析实验项目名称:大整数乘法学院:专业、班级:指导教师:杨烜报告人:学号:实验报告提交时间: 2015.4.30教务处制一、实验目的与实验环境实验目的:1.掌握分治法。

2.学会测试和分析算法的时间性能。

实验环境:VC++ 6.0二、实验原理与算法描述算法;基于分治法的大整数乘法实现思想描述:对于任何两位数a=a1a0和b=b1b0来说,它们的积可以用以下公式来实现:c=a*b=c2*(10^n)+c1*(10^(n/2))+c0其中:c2=a1*b1,是它们第一个数字的积c0=a0*b0,是它们第二个数字的积c1=(a1+a0)*(b1+b0)-(c2+c0),是a数字和与b数字和的积减去c2与c0的和通过上式可以用分治法来求解两个n位大整数a和b的乘积。

首先从中间把两个数字一分为二,把a的前半部分即为a1,后半部分记为a0;对于b 亦是如此。

在这种记法中,a=a1a0意味着a=a1a0=a1*(10^(n/2))+a0,b=b1b0意味着b=b1b0=b1*(10^(n/2))+b0。

所以,利用与计算两位数相同的方法,可以得到:c= a*b =(a1*(10^(n/2))+a0)*(b1*(10^(n/2))+b0)= c2*(10^n)+c1*(10^(n/2))+c0同时,通过递归应用相同的方法来计算c2,c1,c0。

整个实现过程中,首先随机产生两个n位(n通过键盘输入)乘数,然后通过调用分段函数将两个乘数分成两半,最后通过上述分解式求解两个大整数的乘积。

整个过程需要实现的有随机函数、分段函数、倍数函数、减法函数、加法函数、乘法函数以及主函数(部分代码如下截图1至截图7所示,实验源代码作为附件上传)。

三、实验代码与运行截图截图1:随机函数截图3:倍数函数截图4:减法函数截图6:乘法函数截图7:主函数四、实验数据整理与分析表一基于分治的大整数乘法时间统计表数据规模n:10 100 1000 10000 100000耗时(sec) 0.0018 0.0255 0.9597 41.2395 1580.8500备注:所有数据均为20次随机产生大整数运行后的平均值说明:为了更清晰准确的看到数据规模与所需时间之间的关系,实验中将数据规模进行了一些调整,得到的平均数据依旧是以20组数据样本取平均数所得。

深圳大学计算机网络交换机实验报告

深圳大学计算机网络交换机实验报告

深圳大学实验报告课程名称:计算机网络项目名称:交换机的使用学院:数学与统计学院专业:信息与计算科学报告人:张武声学号:2013190159 班级:13级同组人:黎琳、赵原瑾、许梓鑫、李志强、张武声、曹潇立、许桂鑫指导教师:王小民实验时间:2016.5.22 提交时间:2016.6.10声明:本次实验内容由报告人和同组人独立完成,所有涉及到他人的工作均已说明。

报告人和同组人均同意教师及学校为教学活动而引用本实验的内容,且无需事先征得同意和特别说明。

教务处制一、实验目的1. 学习交换机的基本配置2. 掌握交换机上STP设置和使用3. 熟悉交换机上VLAN和TRUNC设置4. 学习交换机上三层交换的设置二、实验说明和实验环境Windows7操作系统,华为S5700、S3700交换机三、实验分析设计1. 在超级终端上配置交换机(1)实现路由器的接口配置、IP设置及虚拟终端(2)通过telnet 远程登录交换机(3)通过TFTP、FTP 传送路由器配置文件2. 在Web界面上配置交换机3. 交换机上STP的设置和使用4. 交换机上VLAN和Trunk设置5. 交换机上三层交换的设置四、主要实验过程(或核心代码说明)1. 在超级终端上配置交换机①根据下图连接交换机S3700和pc电脑:、实验接线图②通过超级终端连接交换机。

连接成功后在“用户视图”输入“reset save”清除现有配置,并“save”保存现有配置,如下图所示:reset清除现有配置和save保存配置③然后输入“reboot”设置空引导缺省配置,重新引导,如下图:利用reboot重新引导④输入disp device查看设备名称以及系统时间,如下图:查看设备名称和名称⑤输入以下指令,分别设置时区,时间和系统密码:clock time Beijing add 8clock datetime 12:00:00 2016/06/08super pass simple 123456⑥为默认的VLAN1设置IP地址:具体操作:先输入“inter vlan1”进入vlan1设置视图,输入“ip address 10.3.10.1 16”设置ip地址,再在pc机上设置ip地址以及缺省网关,如下图所示,设置完成后用“ping”命令测试pc机之间的连通性,测试终端机和交换机的连通性如下图所示,测试终端机和另一台pc机的连通性如下图所示:在pc机上设置IP地址和默认网关测试终端机和交换机的连通性两台pc机之间的连通性⑦输入disp cu显示交换机当前配置,如下图所示:显示交换机当前配置⑧win+r打开cmd命令行窗口输入“telnet open 10.3.10.1”登录交换机,如下图所示:telnet登录交换机⑨利用ftp协议上传下载配置文件,具体步骤如下:a.在系统视图下启动ftp服务:ftp server enable →进入aaa模式:aaa →设置admin密码:local-user admin password simple 1234 →设置登陆权限:local-user admin privilege level 15→设置ftp主目录:local-user admin ftp-directory flash: →设置登录类型:local-user admin service-type telnet terminal http →进入认证配置模式:user-interface vty 0 4 →设置aaa认证:authentication-mode aaa在交换机上配置ftp服务b. 在cmd下运行“ftp 10.3.10.1”,输入用户名“admin”和密码“1234”登录交换机,输入“dir”命令显示交换机中文件列表,如下图所示ftp登录交换机显示文件列表c. 用“get”命令下载配置文件,用“put”命令将“group3.txt”文件上传至交换机,结果如下图所示:get命令下载配置文件上传文件至交换机2.在Web界面上配置交换机①在超级终端中设置交换机加载Web服务,如下图所示:加载Web服务②在浏览器中,输入用户名“admin”和密码“1234”登录交换机,如下图所示:用户“admin”登录web界面③登录后显示交换机当前使用情况,如下图所示:交换机Web界面主页面,绿色的是被占用的接口④点击“新建”按钮我们可以添加用户,输入用户名密码及权限,添加用户成功后在用户管里界面会显示新添加的用户,如下图所示:添加用户并显示新用户⑤在文件管理界面中显示出了交换机上的所有文件目录,如下图:3. 交换机上STP的设置和使用①在没有设置环路之前,确认下与同一台交换机相接的两台pc机之间是互通的,如下图:未设置环路前两台pc机的连通性②在ip为10.3.10.11 电脑上输入ping10.3.10.13,在10.3.10.13上通过wireshark进行帧捕获如下图所示:无环路时帧捕获结果③用网线连接pc和交换机,制造环路:形成环路后的两台pc机的连通性<注:在做实验时电脑特别卡,这是正常的。

深圳大学实验报告

深圳大学实验报告

深圳大学实验报告课程名称:计算机算法2315205601 实验项目名称:分治法
学院:计算机与软件学院
专业:软件工程
指导教师:刘刚
报告人:学号:班级:实验时间:2013-10-
实验报告提交时间:2013-10-
教务部制
7. 思考(选做):
(1)在你的机器中,乘法是否比加法更费时?从哪里体现出来的?
(2)如果要做更大规模的乘法,比如10亿亿位的两个数的乘法,你有什么方法来解决这个问题?使用超级计算机!
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

大作业 计算机控制技术实验报告 基于Matlab的PID控制算法仿真深圳大学

大作业 计算机控制技术实验报告 基于Matlab的PID控制算法仿真深圳大学

深圳大学实验报告课程名称:计算机控制技术实验项目名称:基于Matlab的PID控制算法仿真学院:机电与控制工程学院专业:自动化指导教师:杨蓉报告人:. 学号:. 班级: 3实验时间:2012.5.26实验报告提交时间:2012.6.4(2)列出算法传递函数:simulink模型:(3)建立(4)准备工作:双击step,将sample time设置为1以符合采样周期T = 1 s 的要求;选定仿真时间为500。

第一步是先获取开环系统的单位阶跃响应,在Simulink中,把反馈连线、微分器、积分器的输出连线都断开,并将'Kp'的值置为1,调试之后获取响应值。

再连上反馈线,再分别接上微分器、积分器,仿真,调试仿真值。

(二)增量式:(1)列出算法表达式:增量式PID控制算法表达式为:模型:3)建立simulink ((4)准备工作:双击step,将sample time设置为1以符合采样周期T = 1 s 的要求;选定仿真时间为500。

第一步是先获取开环系统的单位阶跃响应,在Simulink中,把反馈连线、微分器、积分器的输出连线都断开,并将'Kp'的值置为1,调试之后获取响应值。

再连上反馈线,再分别接上微分器、积分器,仿真,调试仿真值。

将Kp的值置为0.5,并连上反馈连线。

仿真运行完毕,双击“scope”得到下图效果不理想,再将Kp的值置为0.2,并连上反馈连线。

P控制时系统的单位阶跃响应图如下:3.PID控制整定经多次输入调试,Kd的值置为0.5时,系统能最快地趋向稳定。

双击scope可得:从上面三张图可以看出. PI、PID 控制二者的响应速度基本相同,且系统稳定的输出值也相同。

Kp、Ki、Kd分别取0.2、1、0.5。

(二)增量式:1.对P控制整定”得到下图scope仿真运行完毕,双击“将Kp的值置为2.5,并连上反馈连线。

P控制时系统的单仲阶跃响应图如下:无论如何更改Kp的值,都是呈现出下坡趋势。

最全模板 深圳大学 全加器实验报告 数字电路

最全模板 深圳大学 全加器实验报告 数字电路
8421码
余3码
0
0000
0011
1
0001
0100
2
0010
0101
3
0011
0110
4
0100
0111
5
0101
7
0111
1010
8
1000
1011
9
1001
1100
四、数据处理分析:
画出任务二中的实验电路:
思考与讨论:实验中有时会发现部分逻辑输入指示灯的颜色只是显示很暗的红色,导致影响了该电路的逻辑功能,所以经过检测,确定为该逻辑输入为接触不好导致的,所以更换接口即可解决问题,给我们的启示是要善于发现,善于去检测实验电路中出现的意外情况,提高解决分析问题的能力;
74LS283 4位二进制超前进位全加器
74LS48 4线至七段译码器/驱动器(BCC输入,有上拉电阻)
共阴极七段显示数码管
三、实验内容及过程:
任务一:74LS283的功能测试
自行设计实验电路和记录表格。输入端接数字电路实验箱的逻辑开关、输出端接数字电路实验箱的电平指示灯,观察输出结果Fn及进位Cn,并记录下来。
图2.3.174LS283引脚排列图
全加器除完成加法运算外,还可用来产生组合逻辑函数。若某一逻辑函数的输出恰好等于输入代码表示的数值加上另外一个常数或有同一组输入变量组成的代码时,则使用全加器往往会达到设计简单的效果。
二、实验设备:
1.RXB-1B数字电路实验箱
2.器件:
74LS54 4路2-3-3-2输入与或非门
(2)自行查找集成电路数据手册。查看74LS48的功能和外引脚排列图。
(二)实验方法提示
按设计的电路连线,将余3码输入端d3、d2、d1、d0分别接到四个逻辑开关,按表2.3.1所列出的余3码设置四个逻辑开关的状态,记录七段数码管的数字,验证是否符合要求。

深圳大学实验报告-数据库系统概论-交互式SQL语句

深圳大学实验报告-数据库系统概论-交互式SQL语句

深圳大学实验报告课程名称:数据库系统概论实验项目名称:交互式SQL语句学院:CIE专业:IS指导教师:傅向华报告人:卢志敏学号:2006131114 班级: 3 实验时间:2008-10-20实验报告提交时间:2008-11-5教务处制一、实验目的与要求:一、实验目的通过本实验,掌握数据分析以及SQL语句的使用。

二、实验要求根据自己本学期选修课程的情况,分析学生、课程和教师之间的关系。

例如,一个学生可以选修多门课程,一门课程可以有多个学生选择,一个课程可以有多位教师讲授,一个教师也可以讲授多门课程,不同的学生可以选择不同教师的不同的课程,建立数据库并进行相关操作。

二、方法、步骤:1.利用SQL语句创建一个数据库和表,该数据库包含如下四个表:学生(学号,姓名,性别,班级,年龄,系别,籍贯,住址,电话号码)教师(教师工号,姓名,性别,系别)课程(课程号,课程名,教材,学分)(假设同一门课程只有一个课程号)选修关系(学号,课程号,教师工号,成绩)2.统计2个宿舍的学生选课情况,利用SQL语句往表中添加记录(每个表至少写出一个SQL语句);Student表:insertinto student (Sno,Sname,Ssex,Sage,Sdept,Sclass)values ('2006131145','崔元星','男',21,'IS','4')Teacher表:insertinto Teacher (Tno,Tname,Tsex,Tdept)values ('11111','傅向华','男','CS')Course表:insertinto Course (Cno,Cname,教材,Ccredit)values ('2213200104','计算机组成原理','计算机组成与结构(第四版)',4)SC表:insertinto SC (Sno,Cno,Tno,Grade)values ('2006131145','2313200501','11112','85')3.以上述数据库和记录为基础,进行如下查询,写出SQL语句和查询结果;(1)以自己的学号作为选择条件,查询自己本学期所修全部课程的课程号和课程名;(2)以自己选修课程的某位教师姓名为查询条件,查询选修该教师课程的所有学生的学号和姓名;(3 ) 查询自己选修的某位教师的某门课程的所有学生的学号,姓名以及电话号码;(4)查询自己选修的某位教师不同课程的学生人数;(5)查询不同课程成绩的最高分;(6)查询与自己同姓的所有学生的学号和姓名;(7)查询没有选修某位教师课程的学生学号和姓名;(8)查询选修了所有课程的学生学号和姓名;(9)查询至少选修了自己选修的全部课程的学生学号和姓名;(10)查询自己选修的所有课程中,超过平均成绩的课程号;4.写出如下操作的SQL语句:(1) 在学生表中添加电子邮件属性;(2) 从课程表中删除所有自己未选修的课程记录;(3)在上述表中插入自己上学期选修的一门课程的有关记录;(4)创建一个自己选课情况的视图,包括学号,姓名,课程名,教师姓名以及成绩等属性。

深圳大学计算机基础实验报告

深圳大学计算机基础实验报告

d 在“替换当前分类汇总”和“汇总结果显示在数据下方”前面打勾,最后单击“确
定”按钮。
(5)使用数据透视表 练习使用数据透视表快速汇总大量数据。 如:根据 “职工信息” 工作表中的数据清单,做一个由两行组成的数据透视表,其
中第一行为职称,第二行为该职称人员对应的最大年龄,结果显示在新建工作表中,然后
指导教师批阅意见: 成绩评定:
指导教师签字: 年月日
备注:
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。
执行“文件”菜单的“打开”命令,在“打开”对话框中选择刚关闭的文件。 3、单元格引用与公式复制
包括相对引用地址、绝对地址引用和混合引用地址。
4、格式化工作表
执行“格式”菜单中“单元格”命令,出现“单元格格式”对话框,使用对话
框不同标签进行设置。
(1)格式化数据
a、数据显示格式 选择“数字”标签,选择可使用的各种数据格式,如数值、货币、日期、时间、 百分比等,其中每一类数据都有多种显示格式。 b、 对齐方式 单元格中的数据默认对齐方式是,水平方向文字左对齐、数值右对齐、垂直方 向靠下对齐。使用“对齐”标签改变默认的对齐方式。 c、 字体、字形、字号及颜色 使用“字体”标签,设置选定单元格数据的字体、字形、字号、颜色、下划线。 d、边框 使用“边框”标签,给选定的单元格设置边框线以及边框线的线型与颜色。 e、 图案 使用“图案”标签,给选定的单元格设置底纹的颜色与图案。 f、 保护 打开“保护”标签,所有的单元格默认都是处于“锁定”状态。
(2)、调整行高与列宽 执行“格式”菜单的“列”或“行”命令,设置为确定数值的列宽与行高,或 设置为最适合的列宽、行高。使用“格式”菜单的“自动套用格式”命令,出 现“自动套用格式”对话框,在其中选择需要的样式。

大学计算机实验3实验报告

大学计算机实验3实验报告

深圳大学实验报告课程名称:计算机基础实验名称:文字信息处理学院:建筑与城市规划学院专业:建筑学报告人:XXX 学号:2015XXXX 班级:XXXXXX 同组人:指导教师:李炎然实验时间:2015.11.04实验报告提交时间:2015.11.05教务处制一.实验目的1. 掌握文档的编辑操作技能。

2. 掌握文档的格式化操作方法。

3. 掌握图文混排方法、艺术字设计和数学公式编辑。

4. 掌握表格处理方法。

5. 掌握页面设置、分栏和分节技术。

6. 掌握样式设置、引用和目录、修订和批注的操作方法。

二.实验步骤与结果3.2 实验环境1.硬件环境:微型计算机2.软件环境:Windows 8,WPS文字20163.3 实验内容1. 文档的基本操作(1)Word 的启动和退出在Windows 桌面上,执行“开始”→“所有程序”→“WPSt office”→“WPS文字”,打开Word 应用程序窗口如图3-1 所示。

图3-1 Word应用程序窗口也可使用快捷方式启动的文件(即 Word 文档,文档名后缀为.docx 或.doc ),双击该文件。

如果 Word 是最近经常使用的应用程序之一,则在 Windows 8 操作系统下,单击屏幕左下角“开始”菜单按钮后,执行[开始|WPS 文字2016]命令。

退出 Word 的方法有多种,常见退出 Word 的方法有:① 执行[文件|退出]命令;② 右击文件标题,单击“关闭窗口”按钮; ③单击右上方“关闭”按钮; ④ 双击 Word 窗口左上角的控制按钮。

退出 Word 时,若文档修改尚未保存,系统会给出一个对话框,询问是否要保存未保存的文档,若单击“是”按钮,则保存当前文档后退出;若单击“否”按钮,则直接退出 Word ;若单击“取消”按钮,则取消这次操作,继续工作。

(如图3-2所示)(2) 文档的创建、保存及打开 1) 文档的创建在启动 Word 后,打开一个空白文档,并在标题栏中显示名字“文档 1”(对应的默认磁盘文件名doc 和docx ),用户可直接在插入点后输入文字、符号、表格、图形等内容。

深圳大学 计算机系统(1) 实验报告6 中断实验

深圳大学 计算机系统(1) 实验报告6 中断实验

深圳大学计算机系统(1) 实验报告6 中断实验深圳大学计算机系统(1)实验报告6中断实验深圳大学实验报告课程名称计算机系统1项目名称lc-3中断实验学院计算机与软件学院专业指导教师报告人学号实验时间:2022年5月19日提交时间:2022年5月19日教务处制一、实验的目的和要求(1)实现中断程序(2)不要调用trap来实现字符输入和输出二、实验内容与方法测试要求:用户程序将会连续地输出纵横交替的ics,通过交替,输出两个不同行,如下:然后按下键盘上的任何字符,程序就会自动启动中断子程序。

键盘中断服务程序只需在屏幕上写入十个随机字符,并以enter(x0a)结束。

主程序的起始位置为X3000,中断子程序的起始地址为X2000。

试验方法:本实验主要分为以下三个部分:a.用户程序b、键盘中断服务程序C。

操作系统支持的代码三、实验步骤与过程用户程序:用户程序主要是实现如下字符串的输出。

最外面是一个死循环,里面两个小循环,一个循环输出一行(当然也可以只用一个小循环实现,但需要引入变量,比原方案复杂一点)。

由于程序运行非常快,为了让字符串缓慢输出,在每次输出“ics”或者“ics”时,添加一个延迟子函数。

C++的实现如下:键盘中断服务程序中断服务程序其实就相当于主函数的一个子函数,只不过不是用户来调用,而是由系统自己来调用。

在输入字符之前,检查kbsr(键盘状态寄存器)是否按最高位设置为1。

如果设置为1,则将kbdr中的数据加载到寄存器中(此时,存储在寄存器中的值是输入字符)。

输出字符时,首先检查DSR的最高位是否设置为1。

如果设置为1,则表示可以输出。

此时,将要输出的字符加载到DDR中,字符将显示在屏幕上。

操作系统支持的代码系统支持主要包括以下几个方面:1)设置栈指针:将r6初始化成x4000即可;2)建立中断向量表:键盘中断的中断向量为X80,存储器中的地址为x0180,中断子程序X2000的起始地址可以存储在x0180中;3)设置kbsr的ie(interruptenable)位。

深圳大学数据库设计性实验报告概要

深圳大学数据库设计性实验报告概要

则得到总的 E-R 图:
学生实体的 E-R 图为:包括学号、姓名、性别、年龄、民族、电话、院系、E-MAIL、 QQ 号、政治面貌
MAIL、父亲 FATHER、母亲 MOTHER、联系电话 PHONE
(一)确定系统中存在的有用实体
根据需求分析,在学生信息管理系统中,需要完成学生基本信息、 家庭信息、奖惩信息和成绩信息的管理。我们可以很容易知道本系统 中主要涉及学生、家庭、奖惩和课程等四个实体。 (二) 、确定实体之间联系的类型 实体之ቤተ መጻሕፍቲ ባይዱ联系主要存在三种,分别是:一对一联系(1:1),一对多 联系(1:N)和多对多联系(M:N)。 根据需求分析,在学生信息管理系 统中一个学生只能拥有一个家庭,一个家庭也只有一个孩子在班级中 学习;一个学生可能有一条或多条奖惩信息;一个学生可以选修多门 课程,一门课程也可以被多个不同的学生选修等。因此,以上各实体 之间存在如下关系: 学生:家庭 学生:奖惩 学生:课程 学生:院系 1:1 1:N M:N N:1
深 圳 大 学 实 验 报 告
(管理学院适用) 课程名称: 实验项目名称: 指导教师: 报告人: 实验时间:
指导教师批阅意见:
数据库原理及应用 学生信息管理系统 专业: 学号: 班级: 实验报告提交时间:
成绩评定:
指导教师签字:
年 注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。 2、教师批改学生实验报告时间应在学生提交实验报告时间后 10 日内。 月 日
实验过程及内容: 1、需求分析: 1.1 用户需求分析: (一)问题描述:学生信息管理系统 主要实现功能: 1、学生信息查询功能:通过不同的检索入口,查询学生学籍信息、课程信息和成 绩信息,并进行排序。 2、 添加功能:通过填写表格的形式输入学生学籍信息、课程信息和成绩信息等相 关信息,系统能够自动避免重复信息。 3、 修改功能:对数据库中的信息进行修改。系统能够通过用户给出的条件查找所 要修改的信息,对修改后的信息进行保存,并自动查找是否是重复信息。 4、 删除功能:对数据进行删除操作。系统能够通过用户给出的条件查找出要删除 的信息,并提示是否确定删除,如果确定删除,则把相关信息从数据库汇中删 除掉。 5、 汇总功能:对信息进行汇总。 6、 统计功能:对信息进行统计,如统计不及格学生名单等。 (二)目的: 通过计算机来实现,将使得管理工作系统化、规范化、自动化,从而达到提 高学生信息管理效率的目的。 为了提高系统开发水平和应用效果,系统应符合学校学生信息管理的规定, 满足对学校学生信息管理的需要,并达到操作过程中的直观、方便、实用、安全 等要求。系统采用模块化程序设计的方法,便于系统功能的组合和修改,以及扩 充和维护。 1.2 业务流程分析: (一)描述系统的业务流程: 操作员能够通过不同的检索入口,查询学生的学籍信息、课程信息和成绩信 息等相关信息,并进行排序。能通过添加功能,以填写表格的形式输入学生的 学籍、课程和成绩信息并且系统恩能够自动避免重复信息。能够使用修改、删 除功能,也能实现汇总、统计功能。 (二)学生信息管理系统的全局数据流程图 首先需要收集的学生信息包括:1、学生的基本信息:姓名,性别,生日, 学生所在院系,所在班别,入学时间,入学成绩,电话,邮箱,父亲,母亲, 获奖情况,收到处分情况。2、课程信息:课程号,课程名,任课老师。3、成 绩信息:学号,课程号,成绩。 2、概念数据库设计 2.1、实体间的联系 (1)、学生基本信息表(student) :学号 S_NO、姓名 S_NAME、性别 SEX、生日 BIRTHDAY、年龄 AGE、所在院系 DEPT_NO、邮箱 E-MAIL、电话 PHONE_NO、 (2) 、成绩信息表(SCORES):学号 S_NO、课程号 C_NO、成绩 SCORE (3) 、课程信息(COURSE) :课程号 C_NO、学号 S_NO、课程名 C_NO、任课老 师 T_NAME (4) 、学籍变更信息表(schoolregister) :记录号 R_NO、学号 S_NO、变更情况 Change、记录时间 R_TIME、详细记录 R_DETAIL (5)、院系信息表( department) :院系编号 DEPT_NO 、学号 S_NO 、院系名称 DEPT_NAME (6) 、家庭信息表(family) :家庭编号 F_NO、家庭住址 ADDRESS、邮编

深大数字电路实验报告2-数据选择器

深大数字电路实验报告2-数据选择器

深大数字电路实验报告2-数据选择器一、实验目的1、了解数据选择器的原理和应用;2、熟悉74LS151的管脚及功能;3、学会使用示波器观测信号波形。

二、实验设备1、数字示波器;2、电源模块;3、实验板;4、74LS151芯片;5、连接线。

三、实验原理数据选择器是一种器件,用来通过选择输入端其中之一的信号并输出到输出端,选择的输入信号通路称作选择通路,一个数据选择器可以有一至多个选择通路。

数据选择器可以被用来联结不同的输入装置,也可用来选择来自多个输入信源的信号。

74LS151是一种8:1数据选择器。

如图1,其功能原理图如下。

数据输入是通过双向操作的输入/输出端A-H送入芯片内部,通过控制信号S2,S1,S0的组合,任意选择输入端口之一,将其输出到Y输出端口。

图1 74LS151功能原理示意图四、实验步骤1、根据原理图和芯片管脚功能,插上74LS151芯片;2、将电源正负极插入电源模块的正负极;3、将电源模块与实验板连接;4、将8位数据总线分别连接到74LS151的A-H端口;5、将74LS151的输出端口Y连接到示波器Channel 1通道的输入端口,并调节示波器旋钮;6、按照实验原理,控制74LS151的S2,S1,S0三个端口的信号,从而控制哪一个输入端口输出到输出端口Y;通过观察Channel 1通道上的波形,效验芯片功能是否正确。

五、实验数据与分析1、通过8个led灯亮灭情况,依次检验实验板的数据线是否接通,并确认数据的正确性;2、利用示波器观察Channel 1通道上的波形,控制输入端口的改变,确认芯片性能是否正确;3、通过实验结果,比较不同的S2,S1,S0信号组合,可以直接得到输出的数据来源,从而实现不同输入信号的选择。

六、实验心得本次实验通过使用74LS151芯片,实现了数据选择器的基本功能,以及掌握了数字电路实验中使用示波器的方法。

通过实验,我深刻理解到数字电路实验的重要性,为今后的电子技术学习打下了坚实的基础。

深圳大学数据库设计性实验报告

深圳大学数据库设计性实验报告
3.1 转化为关系数据模型
学生(学号,姓名,班级,性别,年龄,民族,电话,E-mail,QQ 号) 家庭(家庭编号,家庭住址,邮编,联系电话,家长姓名) 课程(课程号,课程名称,课程学分,授课学时) 院系(院号,院名,系主任) 教师(教师编号,姓名,性别,年龄,家庭住址,邮箱)
3.2 学生信息管理系统的各表的结构,如下表所示: 表1 数据库表名 STUDENT SCORES 数据信息表 对应的关系模式名 学生 成绩 中文说明 学生信息表 成绩信息表
表 7 院系信息表
字段名 D_NO D_NAME S_NO
字段类 型 VARCHAR VARCHAR VARCHAR
长度 10 10 10
主键或外键 FOREIGN KEY FOREIGN KEY
字段约束 NOT NULL NOT NULL NOT NULL
对应中文属性 名 院系编号 院系名称 学号
NOT NULL NOT NULL NOT NULL
课程号 学号 课程名
表 5 学籍信息表
字段名 R_NO S_NO CHANGE REWARD PUNISH
字段类型 VARCHAR VARCHAR VARCHAR VARCHAR VARCHAR
长度
主键或外键 10 PRIMARY KEY 10 FORGEIGN KEY 40 40 40
实验过程及内容: 1、需求分析: 1.1 用户需求分析: (一)问题描述:学生信息管理系统 主要实现功能: 1、学生信息查询功能:通过不同的检索入口,查询学生学籍信息、课程信息和成 绩信息,并进行排序。 2、 添加功能:通过填写表格的形式输入学生学籍信息、课程信息和成绩信息等相 关信息,系统能够自动避免重复信息。 3、 修改功能:对数据库中的信息进行修改。系统能够通过用户给出的条件查找所 要修改的信息,对修改后的信息进行保存,并自动查找是否是重复信息。 4、 删除功能:对数据进行删除操作。系统能够通过用户给出的条件查找出要删除 的信息,并提示是否确定删除,如果确定删除,则把相关信息从数据库汇中删 除掉。 5、 汇总功能:对信息进行汇总。 6、 统计功能:对信息进行统计,如统计不及格学生名单等。 (二)目的: 通过计算机来实现,将使得管理工作系统化、规范化、自动化,从而达到提 高学生信息管理效率的目的。 为了提高系统开发水平和应用效果,系统应符合学校学生信息管理的规定, 满足对学校学生信息管理的需要,并达到操作过程中的直观、方便、实用、安全 等要求。系统采用模块化程序设计的方法,便于系统功能的组合和修改,以及扩 充和维护。 1.2 业务流程分析: (一)描述系统的业务流程: 操作员能够通过不同的检索入口,查询学生的学籍信息、课程信息和成绩信 息等相关信息,并进行排序。能通过添加功能,以填写表格的形式输入学生的 学籍、课程和成绩信息并且系统恩能够自动避免重复信息。能够使用修改、删 除功能,也能实现汇总、统计功能。 (二)学生信息管理系统的全局数据流程图 首先需要收集的学生信息包括:1、学生的基本信息:姓名,性别,生日, 学生所在院系,所在班别,入学时间,入学成绩,电话,邮箱,父亲,母亲, 获奖情况,收到处分情况。2、课程信息:课程号,课程名,任课老师。3、成 绩信息:学号,课程号,成绩。 2、概念数据库设计 2.1、实体间的联系 (1)、学生基本信息表(student) :学号 S_NO、姓名 S_NAME、性别 SEX、生日 BIRTHDAY、年龄 AGE、所在院系 DEPT_NO、邮箱 E-MAIL、电话 PHONE_NO、 (2) 、成绩信息表(SCORES):学号 S_NO、课程号 C_NO、成绩 SCORE (3) 、课程信息(COURSE) :课程号 C_NO、学号 S_NO、课程名 C_NO、任课老 师 T_NAME (4) 、学籍变更信息表(schoolregister) :记录号 R_NO、学号 S_NO、变更情况 Change、记录时间 R_TIME、详细记录 R_DETAIL (5)、院系信息表( department) :院系编号 DEPT_NO 、学号 S_NO、院系名称 DEPT_NAME (6) 、家庭信息表(family) :家庭编号 F_NO、家庭住址 ADDRESS、邮编

实验二数据表示实验

实验二数据表示实验

实验二数据表示实验深圳大学实验报告课程名称:计算机系统(2) 实验项目名称:数据表示实验学院:专业:指导教师:罗秋明报告人:学号:班级:实验时间:2017年3月31日实验报告提交时间:2017年4月13日教务处制一、实验目标:1.了解各种数据类型在计算机中的表示方法2.掌握C语言数据类型的位级表示及操作二、实验环境:1.计算机(Intel CPU)2.Ubuntu Linux操作系统三、实验内容与步骤1、根据bits.c中的要求补全以下的函数:int bitXor(int x, int y);int tmin(void);int isTmax(int x);nt allOddBits(int x);int negate(int x);int isAsciiDigit(int x);int conditional(int x, int y, int z);int isLessOrEqual(int x, int y);int logicalNeg(int x);int howManyBits(int x);unsigned float_twice(unsigned uf);unsigned float_i2f(int x);int float_f2i(unsigned uf);2、在Linux下测试以上函数是否正确,指令如下:*编译:./dlc bits.c*测试:make btest./btest四、实验结果1.int bitXor(int x, int y);由离散数学逻辑命题逻辑可得,异或如下:2.int tmin(void);最小值为0x8000 0000,由1左移31即可得到:3.int isTmax(int x);最大值为0x7fff ffff,加一会变为0x10000000,而此数加上本身后会变为0,本身加本身为0的数只有0和0x1000 0000,故而再将0xffffffff排除即可4.i nt allOddBits(int x);只有所有奇数位为1的数(二进制),与0x5555 5555进行&运算才会得到0,故而需要得到0x5555 5555即可,将0x5分别左移4、8、16、24得到4个数,然后将这四个数和0x5相加即可得到0x5555 55555.int negate(int x);取反加1即可6.int isAsciiDigit(int x);x需要>=’0’且<=’9’,将x与临界点作差,然后判断符号位的为0还是1即可7.int conditional(int x, int y, int z);首先使用t=!x,当x为0时返回1,当x不为0时,返回0,根据题意得到( _ &y)|( _ &z),首先空1,当x不为0,即t=0时,需要t 转换为0xffffffff(-1),当t=1时,需要将t转换为0x0(0),,将t-1即可,得到空1为“!x+~1+1”,同理空2为“~!x+1”8.int isLessOrEqual(int x, int y);直接用y-x可能会超出int的表示范围,故而:A、在x与y同号的情况下转换为p=y-x>=0,然后p符号位(p>>31)&1为0则返回1,符号位1则返回0;B、异号时,只要x>=0,就要返回0,否则返回1,由(x>>31)&1能达到该效果;C、c=a+b可作为x,y同号异号的判断。

数字电路实验一异或门与非门实验报告深圳大学

数字电路实验一异或门与非门实验报告深圳大学

深圳大学实验报告课程名称:数字电路实验
实验项目名称:门电路逻辑功能及测试
学院:
指导教师:
报告人:学号:班级:实验时间:
实验报告提交时间:
教务部制
数据处理分析:
以A、B为自变量,Y为应变量得以下的真值表:
A B Y Uy(直流电压值)
0 0 0 0.148
1 0 1 3.515
0 0 0 0.128
0 1 1 3.513
0 0 0 0.122
1 1 1 0.163
得当A和B之中有一个或一个以上个为高电平时,Y得高电平,满足异或的逻辑功能。

根据表1得,当为高电平时,Vz在3.4到3.6V之间;当为低电平时,Vz在0.1到0.2V 之间。

数据及波形记录:
S端为0电平时输入端A和输出端Y的波形:
S端为1电平时输入端A和输出端Y的波形:
2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数据处理分析: 任务 1.双 4 选 1 数据选择器 74LS153 功能测试
2 Y
1 Y
使能端 1 0 0 0 0
输 地址端 A1 A0 × × 0 0 0 1 1 0 1 1
D3 × 0 0 0 1
入 数据端 D2 D1 × × 0 0 0 1 1 0 0 0
输 D0 × 1 0 0 0 X 理论值 0 D0 D1 D2 D3
实验结论: 从实验表格中看出: 1) 输出的理论值与实际值相等,该逻辑测试成功。 2) 当使能端为 1 时,该电路不工作,输出值为 0;当使能端为 0 时,输出值随控 制端改变而改变。
指导教师批阅意见:
成绩评定:
指导教师签字: 年 月 日 备注:
注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。 2、教师批改学生实验报告时间应在学生提交实验报告时间后 10 日内。
方法、步骤: 将双四选一多路数据选择器 74LS153 接成电路图,将 A1、A0 接逻辑开关,数据输入 端 D0~D3 接逻辑开关,输出端 Y 接发光二极管。 步骤: 用数据选择器实现组合逻辑函数 ① 画出函数的标准与或表达式,和数据选择器输出信号表达式。 ② 对照比较确定选择器各输入变量的表达式。 ③ 根据采用的数据选择器和求出的表达式画出连线图。 ④ 记录实验结果并列成表。
深 圳 大 学 实 验 报 告
课程名称: 数字逻辑与数字电路
实验项目名称:
数据选择器
学院:
计算机与软件学院
报告人:
学号:
班级: 3 班
同组人:
郭治民
实验时间:
2012-11-6
实验报告提交时间:
2012-11-18
教务部制
实验目的与要求: 目的: 本次两个实验主要是熟悉中规模集成数据选择器的逻辑功能及测试方法, 并学习用 74LS153 进行逻辑设计。用数据选择器对实验内容进行设计、写出设计全过程、画出接 线图、进行逻辑功能测试;总结实验收获、体会。 要求: 1)熟悉 74LS153 的工作原理及使用方法, 并分析实验是否成功。 2)根据实验内容要求,写出设计的全过程,画出实验电路图。
出 Y 实测值 0 D0 D1 D2 D3
任务 2.用 74LS153 设计控制电路
A 0 0 0 0 1 1 1 1
B C 0 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1
X 0 1 1 0 1 0 0 1
Y 0 0 0 1 0 1 1 1
F D0 D0 D1 D1 D2 D2 D3 D3
相关文档
最新文档