多功能数字时钟的功能和特点

合集下载

多功能电子时钟研究报告

多功能电子时钟研究报告
XTAL1反向振荡放大器的输入及内部时钟工作电路的输入。
XTAL2来自反向振荡放大当作为输入并被外部下拉为低电平时,它们将输出电流,这是因内部上拉的缘故。P1.0和P1.1需要外部上拉,可用作片内精确模拟比较器的正向输入(AIN0)和反向输入(AIN1),P1口输出缓冲器能接收20mA电流,并能直接驱动LED显示器;P1口引脚写入“1”后,可用作输入。在闪速编程与编程校验期间,P1口也可接收编码数据。
新颖数字钟由上而下的进行层次化的设计,所有功能都是围绕单片机芯片AT89C2051展开。从各模块入手,首先定义各个模块的结构然后再对各个模块内部进行详细的设计。在设计过各个模块完成之后都要进行仿真调试,在仿真调试通过之后再对各个模块进行模块的组合兼容性调试。之后对整个工程文件进行整机调试。新颖设计利用单片机代替先前的大量的复杂的门电路使电路功能更加全面,时钟更加准确,利用单片机实现数字钟便于功能的扩展和系统升级,该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便,是一种新型的产品。新颖数字钟用四位数码管显示时、分的时钟。图中左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示电源工作;左下角红色发光二极管(秒灯)闪烁一次表示走动1秒钟;正上方绿色发光二极管是设置灯,当正常走动时此时不亮,当第一次按下设置键时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管闪烁,停止走动,进入校时状态,此时可以进行分钟的调整,当按一次加一键(左键)可实现分钟的加1功能,达到60分时返回0,从0再重新算起;如果再次按下设置键时,若秒灯和设置灯仍旧保持熄灭和点亮状态,表示分钟的数码管停止闪烁,反过来表示小时的两位数码管则开始闪烁,此时可进行小时的调整,按加1键可实现时调整功能,到达24小时返回0;当第三次按下设置键数码管停止闪烁,设置灯熄灭,秒灯重新闪烁,以设定值计时。

基于单片机的多功能数字时钟设计

基于单片机的多功能数字时钟设计

技术平台采用碱性电解液电沉积活性锌粉,选取电解液浓度1.25g/cm3,电流密度150mA/cm2,电解槽温度只需控制在室温,锌粉洗涤后真空干燥,所制得的锌粉比表面积大于0.8m2/g,具有较高的电化学活性,能满足锌银电池生产需要,生产效率也达到批量生产要求。

参考文献:[1]侯新刚,王胜,王玉棉.超细活性锌粉的制备与表征[J].粉末冶金工业,2004,14(1):10-13.[2]李永祥,黄孟阳,任锐.电解法制备树枝状锌粉工艺研究[J].四川有色金属,2011,(3):45-50.[3]胡会利,李宁,程瑾宁,等.电解法制备超细锌粉的工艺研究[J].粉末冶金工业,2007,17(1):24-29.基于单片机的多功能数字时钟设计刘晓萌(安徽职业技术学院铁道学院/合肥铁路工程学校,安徽 合肥 230011)摘 要:常见的数字钟有时间、闹钟等功能。

本文基于单片机、温度传感器、液晶显示屏、时钟芯片等硬件设计了多功能数字时钟,软件部分采用C语言编程实现。

该多功能数字时钟包含万年历、节日、节气、温度信息显示等功能,并且在断电的情况下也能正常工作。

关键词:单片机;多功能数字时钟;C语言编程0 引言人类对于时间的需求从古到今始终存在。

古代有浑天仪、日晷,近代出现了机械时钟。

如今,传统的计时工具,甚至是电子钟都已经满足不了人们多元化的时间需求。

数字时钟具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的应用空间[1]。

使用数字时钟,用户可以获取精确到秒的时间信息,或是对时钟进行自定义的操作,为现代社会提供了极大的方便[2]。

然而,传统的数字时钟只包含时间显示、闹钟等功能,存在一定的局限性。

本文基于单片机、温度传感器、液晶显示屏、时钟芯片、键盘模块、闹铃模块和电力支持模块等硬件,设计了一款多功能的数字时钟。

1 系统硬件组成数字时钟的硬件由七个模块组成,包括:STC89C52单片机主控芯片、DS1302时钟芯片、DS18B20温度芯片、LCD1602液晶显示模块、闹铃模块、键盘模块和电源。

数字时钟知识讲解

数字时钟知识讲解

数字时钟知识讲解目录一、数字时钟概述 (2)1. 数字时钟定义与特点 (3)2. 数字时钟发展历史 (4)二、数字时钟基本原理 (5)1. 数字时钟计时原理 (6)1.1 时间计数方式 (7)1.2 计时准确度与频率 (8)2. 数字时钟电路构成 (9)2.1 振荡器电路 (10)2.2 分频器电路 (11)2.3 显示驱动电路 (12)三、数字时钟显示技术 (13)1. LED显示技术 (14)1.1 LED数码管显示原理 (15)1.2 LED时钟显示效果 (17)2. LCD显示技术 (18)2.1 LCD显示器原理 (19)2.2 LCD时钟显示效果 (20)四、数字时钟功能与应用领域介绍 (21)一、数字时钟概述数字时钟是一种现代化的时间显示设备,与传统的机械时钟相比,具有更高的准确性和精度。

数字时钟采用电子技术和数字化显示方式,能够精确地显示当前的小时、分钟、甚至秒数。

它们广泛应用于家庭、办公室、公共场所,甚至是个人手持设备中,已成为日常生活中不可或缺的一部分。

数字时钟的基本原理是依靠晶体振荡器来计时,通过电子线路驱动显示器显示时间。

与传统的机械时钟相比,数字时钟具有许多优势。

它们精度高,不受环境温度和机械振动的影响。

数字时钟易于阅读,特别是对于视力较弱的人群来说,数字显示比传统指针显示更为清晰易辨。

现代数字时钟还具备多种附加功能,如闹钟、定时器、日历等,为用户提供了极大的便利。

数字时钟的发展离不开电子技术的进步,随着科技的不断发展,数字时钟不仅在功能和应用领域得到了扩展,其设计和制造技术也不断提升。

数字时钟已不再是简单的计时工具,更是时尚和科技的代表。

它们在造型设计、显示色彩、界面控制等方面不断创新,为用户带来全新的视觉体验和使用感受。

数字时钟已经成为现代社会不可或缺的一部分,它们以其高精度、易用性和多功能性为人们提供了更加便捷和准确的时间服务。

1. 数字时钟定义与特点数字时钟是一种采用数字技术来显示时间的电子设备,与传统的模拟时钟相比,数字时钟具有许多优点和特点。

六位时钟总结

六位时钟总结

六位时钟总结六位时钟总结篇1以下是一份关于六位时钟的总结:1.什么是六位时钟?六位时钟是一种电子时钟,它具有6个独立的数字显示区域,可以同时显示时、分、秒、时区、日期和秒数。

六位时钟通常还具有定时器、闹钟、秒表等功能,是办公室、书房、卧室等场合的理想选择。

2.六位时钟的特点是什么?六位时钟的特点包括:__可以同时显示多个时间信息,方便用户同时查看多个时区的时间。

__具有秒表功能,可以记录一段时间内的精确时间。

__具有定时器功能,可以设置闹钟时间,提醒用户按时完成任务。

__具有数字显示屏,可以显示日期、秒数等信息。

__可以连接蓝牙耳机、手机等设备,方便用户听音乐、接打电话等。

3.六位时钟的应用场景是什么?六位时钟的应用场景包括:__办公室:六位时钟可以显示多个时区的时间,方便员工查看不同地区的时间信息。

__书房:六位时钟可以显示多个时区的时间,方便作者查看不同地区的时间信息。

__卧室:六位时钟可以显示多个时区的时间,方便用户查看不同地区的时间信息。

__机场、火车站:六位时钟可以显示多个时区的时间,方便旅客查看不同地区的时间信息。

__办公室、酒店、公寓等场所:六位时钟可以显示多个时区的时间,方便用户查看不同地区的时间信息。

4.六位时钟的局限性是什么?六位时钟的局限性包括:__价格较高,不适合低收入人群。

__需要使用电池或充电,存在电池寿命和充电次数限制的问题。

__蓝牙连接功能只适用于部分设备,无法连接所有蓝牙设备。

__数字显示屏较小,不适合远距离观看。

__定时器功能较为简单,无法满足高端用户的需求。

5.六位时钟的未来发展趋势是什么?六位时钟的未来发展趋势包括:__更加智能化,可以连接物联网设备,实现远程控制和数据传输。

__更加个性化,可以定制外观和功能,满足不同用户的需求。

__更加节能,采用更加省电的显示技术和材料,延长电池寿命。

__更加多样化,除了传统数字显示外,还可以采用液晶显示、LED显示等多种形式。

电子行业数字电子钟说明书

电子行业数字电子钟说明书

电子行业数字电子钟说明书概述本说明书旨在向用户介绍并指导使用电子行业数字电子钟。

本产品是一款高精度、多功能的数字电子钟,适用于电子行业及其他需要精确时间记录和显示的场景。

1.高精度:采用了先进的时钟芯片,确保准确的时间显示。

2.多功能:除了基本的时间显示功能,还提供了闹钟、倒计时、计时器等实用功能。

3.大屏幕显示:采用了大尺寸的数字液晶屏幕,清晰明了。

4.多种显示模式:提供了12小时制和24小时制两种时间显示模式,可以根据实际需要进行切换。

•尺寸:150mm x 100mm x 30mm•重量:200g(不含电池)•电源:2节AAA干电池(不包含)•屏幕:大屏幕液晶显示屏•温度范围:0℃ ~ 50℃•湿度范围:10% ~ 90% RH使用方法安装电池1.打开电子钟背部的电池仓盖。

2.按照正负极的标识,正确地安装2节AAA干电池。

3.关上电池仓盖。

时间设置1.电池安装完成后,屏幕将亮起,并显示12:00 AM。

2.按下“设置”按钮,屏幕上会显示时间设置的界面。

3.使用“上”和“下”按钮调整小时、分钟和秒数。

长按“上”或“下”按钮可以快速调整。

4.设置完成后,再次按下“设置”按钮退出设置模式。

闹钟设置1.在时间显示界面,按下“闹钟”按钮进入闹钟设置模式。

2.使用“上”和“下”按钮调整闹钟的小时和分钟。

3.设置完成后,按下“闹钟”按钮保存设置,并返回时间显示界面。

4.在设定的闹钟时间到达时,电子钟将发出蜂鸣声提醒。

倒计时设置1.在时间显示界面,按下“倒计时”按钮进入倒计时设置模式。

2.使用“上”和“下”按钮调整倒计时的小时和分钟。

3.设置完成后,按下“倒计时”按钮保存设置,并返回时间显示界面。

4.在倒计时结束时,电子钟将自动停止并发出蜂鸣声提醒。

计时器功能1.在时间显示界面,按下“计时器”按钮进入计时器模式。

2.按下“开始/暂停”按钮开始计时,再次按下暂停计时。

3.按下“复位”按钮重置计时。

注意事项1.请勿将产品暴露在高温、高湿度或极低温度环境下,以免对电子元件造成损坏。

多功能数字钟

多功能数字钟

电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。

2、要求数字钟具有清零、调节小时、分钟功能。

3、具有整点报时,整点报时的同时LED灯花样显示。

二、总体框图多功能数字钟总体框图如下图所示。

它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。

系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。

四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。

(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。

VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。

多功能数字电子钟_VHDL

多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then

多功能数字钟文献综述

多功能数字钟文献综述

文献综述(周波电子信息工程 04021026528)1.多功能数字钟的工作原理随着生活水平的提高,人们越来越追求人性化的事物,传统的时钟已不能满足人们的需求.现代的数字钟不仅需要数字电路技术而且需要模拟电路技术和单片机技术,增加了数字钟的功能.其电路可以由实时时钟模块、环境温度检测模块、人机接口模块、报警模块等部分组成。

利用软件编程尽量做到硬件电路简单稳定,减小电磁干扰和其他环境干扰,充分发挥软件编程的优点,减小因元器件精度不够引起的误差,但是数字钟还是可以改进和提高如选用更精密的元器件。

但与机械式时钟相比已经具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是采用数字电路实现对月,日,时,分,秒。

数字显示的计时装置,工作时,振荡器产生频率稳定的高频脉冲信号,作为数字钟的时间基准,再经过分频器分频,得到标准秒脉冲.秒脉冲送入计数器进行计数,秒计数器满60后向分计数器进位,分计数器计满60后向小时进位,而小时计数器按照“24翻1”规律计天数,日计数器可按照“30翻1”规律计月,月则为12进制.计数器的输出分别经译码器送显示器显示。

计时出现误差可用校时电路予以校准,而当计时达到整点时系统会发出四低一高的鸣叫,最后一声恰为整点。

广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义2.钟表的发展历史人类究竟从何时开始,有了“时间”的概念?人类的远祖最早从天明天暗知道时间的流逝。

大约六千年前,“时钟”第一次登上人类历史的舞台:日晷在巴比伦王国诞生了。

多功能数字钟电路设计【PPT课件】PPT课件

多功能数字钟电路设计【PPT课件】PPT课件

3取27晶68振构频Hz的成率,频因振越率其荡高为内器,计电时路精。度一2越2J般M高T 来。说,振荡1R50器k的
部有15级2分频集
成电路,所以输出
32768Hz
端正好可得到1Hz 的标准脉冲
C1 3/22pF
C2 20pF
5
1. 振荡器的设计
+5V R1 2k
如果精度要求 不高也可以采用第
3
三、主体电路的设计与装调
主体电路是由功能部件或单元电路 组成的。在设计这些电路或选择部件时, 尽量选用同类型的器件,如所有功能部件 都采用TTL集成电路或都采用CMOS集成 电路。整个系统所用的器件种类应尽可 能少。下面介绍各功能部件与单元电路 的设计。
4
1. 振荡器的设计
子手如表图集所成示振电为路荡电(器是数字钟的1 核心。振荡1器 vo 体如振5C荡7的钟0器2)稳计电中路定时的,度的晶常及准频 确率 程的 度精,通R确常F 度选决用定石了英数晶字体
Q3
7 4 L S 9 0 (3 )
C P A C P B R 0(1) R 9(1)
10
四、功能扩展电路的设计
定时控制电路的设计 仿广播电台正点报时电路的设计 报整点时数电路的设计 触摸报整点时数电路的设计
11
1. 定时控制电路的设计
例 要求上数午字7钟时在59指分定发的出时闹刻时发信出号信,持号续, 时间 为1分或钟驱。动音响电路“闹时”;或对某 解 7时装5置9的分电对源应进数行字接钟通的或时断个开位“计控数器的状态 为(Q制3Q”2Q。1Q 0)H1=0111,分十位计数器的状态 为(Q3Q2Q不1Q管0)是M2闹=0时10还1是,分控个制位,都计要数求器时的状态为 (Q3Q间2Q准1Q确0)M,即1=信10号01的。开若始将时上刻述与计持数续器时输出为 “1”的间所必有须输满出足端规经定过的与要门求电。路去控制音响电 路,可以使音响电路正好在7点59分响,持续1分 钟后(即8点时)停响。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。

可以使用七段显示器来显示数字。

2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。

3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。

4.日历功能:设计一个日历功能,可以显示当前的日期和星期。

5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。

6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。

7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。

8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。

这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。

用verilog-HDL多功能数字钟

用verilog-HDL多功能数字钟

用verilog-HDL多功能数字钟Verilog HDL实验报告基于Verilog HDL语言的多功能数字钟设计一、试验目的设计一个有如下功能的数字钟:(1)计时功能:包括时、分、秒。

(2)定时与闹钟功能:能在所设定的时间发出铃音。

(3)校时功能:对小时、分钟和秒钟进行手动校时。

(4)整点报时功能:每到整点能够发出“嘀嘀嘀嘀嘟”四短一长的报时。

二、试验原理ALERT HOUR[7..0]MIN[7..0]SEC[7..0]LD_ALERT LD_HOUR LD_MINCLK CLK_1K MODE TURN CHANGEclockCLK CLK_1K MODE TURN CHANGEALERTHOUR[7..0]MIN[7..0]SEC[7..0]LD_ALERT LD_HOUR LD_MIN多功能数字钟端口示意图数字钟设有五个输入端,分别为时钟输入(CLK )、模式(MODE )、产生声音的时钟信号(CLK_1K )、切换(TURN )和调时(CHANGE )键。

输出共七个,其中HOUR[7..0]、MIN[7..0]和SEC[7..0]采用BCD 计数方式,分别驱动2个数码管。

硬件电路原理图如下:三、试验内容1. 代码/*信号定义:clk: 标准时钟信号,其频率为4Hz;clk_1k:产生闹铃声、报时音的时钟信号,其频率为1024Hz;mode:功能控制信号;为0:计时功能;为1:闹钟功能;为2:手动校时功能;turn:接按键,在手动校时功能时,选择是调整小时还是分钟;若长时间按住改建,还可使秒信号清零,用于精确调时;change: 接按键,手动调整时,每按一次,计数器加1;如果长按,则连续快速加1,用于快速调时和定时;hour,min,sec:此三信号分别输出并显示时、分、秒信号,皆采用BCD码计数,分别驱动6个数码管显示时间;alert:输出到扬声器的信号,用于产生闹铃音和报时音;闹铃音为持续20秒的急促的“嘀嘀嘀”音,若按住“change”键,则可屏蔽该音;整点报时音为“嘀嘀嘀嘀嘟”四短一长音;LD_alert:接发光二极管,指示是否设置了闹钟功能;LD_hour:接发光二极管,指示当前调整的是小时信号;LD_min:接发光二极管,指示当前调整的是分钟信号*/moduleclock(clk,clk_1k,mode,change,turn,alert,hour,min,sec,LD_alert,LD_hour,LD_mi n);input clk,clk_1k,mode,change,turn;output alert,LD_alert,LD_hour,LD_min;output[7:0] hour,min,sec;reg[7:0] hour,min,sec,hour1,min1,sec1,ahour,amin;reg[1:0] m,fm,num1,num2,num3,num4;reg[1:0] loop1,loop2,loop3,loop4,sound;reg LD_hour,LD_min;reg clk_1Hz,clk_2Hz,minclk,hclk;reg alert1,alert2,ear;reg count1,count2,counta,countb;wire ct1,ct2,cta,ctb,m_clk,h_clk;always @(posedge clk)beginclk_2Hz<=~clk_2Hz;if(sound==3) begin sound<=0; ear<=1; end //ear信号用于产生或屏蔽声音else begin sound<=sound+1; ear<=0; endendalways @(posedge clk_2Hz) //由4Hz的输入时钟产生1Hz的时基信号clk_1Hz<=~clk_1Hz;always @(posedge mode) //mode信号控制系统在三种功能间转换begin if(m==2) m<=0; else m<=m+1; endalways @(posedge turn)fm<=~fm;always //产生count1,count2,counta,countb四个信号begincase(m)2:begin if(fm)begin count1<=change; {LD_min,LD_hour}<=2; endelsebegin counta<=change; {LD_min,LD_hour}<=1; end{count2,countb}<=0;end1:begin if(fm)begin count2<=change; {LD_min,LD_hour}<=2; endelsebegin countb<=change; {LD_min,LD_hour}<=1; end{count1,counta}<=2'b00;enddefault:{count1,count2,counta,countb,LD_min,LD_hour}<=0;endcaseendalways @(negedge clk) //如果长时间按下“change”键,则生成“num1”信号用于连续快速加1if(count2) beginif(loop2==3) num2<=1;elsebegin loop2<=loop2+1; num2<=0;endendelse begin loop2<=0; num2<=0; endalways @(negedge clk) //产生num2信号if(count1) beginif(loop3==3) num3<=1;elsebegin loop3<=loop3+1; num3<=0; endendelse begin loop3<=0; num3<=0; endalways @(negedge clk)if(counta) beginif(loop4==3) num4<=1;elsebegin loop4<=loop4+1; num4<=0; endendelse begin loop4<=0; num4<=0; endassign ct1=(num3&clk)|(!num3&m_clk); //ct1用于计时、校时中的分钟计数assign ct2=(num1&clk)|(!num1&count2); //ct2用于在定时状态下调整分钟信号assign cta=(num4&clk)|(!num4&h_clk); //cta用于计时、校时中的小时计数assign ctb=(num2&clk)|(!num2&countb); //ctb用于在定时状态下调整小时信号always @(posedge clk_1Hz) //秒计时和秒调整进程if(!(sec1^8'h59)|turn&(!m))beginsec1<=0;if(!(turn&(!m))) minclk<=1;end//按住“turn”按键一段时间,秒信号可清零,该功能用于手动精确调时else beginif(sec1[3:0]==4'b1001)begin sec1[3:0]<=4'b0000; sec1[7:4]<=sec1[7:4]+1; endelse sec1[3:0]<=sec1[3:0]+1; minclk<=0;endassign m_clk=minclk||count1;always @(posedge ct1) //分计时和分调整进程beginif(min1==8'h59) begin min1<=0; hclk<=1; endelse beginif(min1[3:0]==9)begin min1[3:0]<=0; min1[7:4]<=min1[7:4]+1; endelse min1[3:0]<=min1[3:0]+1; hclk<=0;endendassign h_clk=hclk||counta;always @(posedge cta) //小时计时和小时调整进程if(hour1==8'h23) hour1<=0;else if(hour1[3:0]==9)begin hour1[7:0]<=hour1[7:4]+1; hour1[3:0]<=0; endelse hour1[3:0]<=hour1[3:0]+1;always @(posedge ct2) //闹钟定时功能中的分钟调节进程if(amin==8'h59) amin<=0;else if(amin[3:0]==9)begin amin[3:0]<=0; amin[7:4]<=amin[7:4]+1; endelse amin[3:0]<=amin[3:0]+1;always @(posedge ctb) //闹钟定时功能中的小时调节进程if(ahour==8'h23) ahour<=0;else if(ahour[3:0]==9)begin ahour[3:0]<=0; ahour[7:4]<=ahour[7:4]+1; endelse ahour[3:0]<=ahour[3:0]+1;always //闹铃功能if((min1==amin)&&(hour1==ahour)&&(amin|ahour)&&(!change))//若按住“change”键不放,可屏蔽闹铃音if(sec1<8'h20) alert1<=1; //控制闹铃的时间长短else alert1<=0;else alert1<=0;always //时、分、秒的现实控制case(m)3'b00: begin hour<=hour1; min<=min1; sec<=sec1; end//计时状态下的时、分、秒显示3'b01: begin hour<=ahour; min<=amin; sec<=8'hzz; end//定时状态下的时、分、秒显示3'b10: begin hour<=hour1; min<=min1; sec<=8'hzz; end//校时状态下的时、分、秒显示endcaseassign LD_alert=(ahour|amin)?1:0; //指示是否进行了闹铃定时assign alert=((alert1)?clk_1k&clk:0)|alert2; //产生闹铃音或整点报时音always //产生整点报时信号alert2beginif((min1==8'h59)&&(sec1>8'h54)||(!(min1|sec1)))if(sec1>8'h54) alert2<=ear&clk_1k; //产生短音else alert2<=!ear&clk_1k; //产生长音else alert2<=0;endendmodule2. 仿真图四、小结及体会为了做多功能数字钟,我借了多本关于Verilog HDL的程序设计书。

多功能数字时钟设计

多功能数字时钟设计
DS1302
2009 08 中 · 国 电 子 商 务
1 硬 图 件 电 路 图
87
调 试 与 使 用 方 法 键 盘 : 键 盘 上 共 有 键 , (左 方 向 键 ) 、 5个 K1 键 K2 键 K3 键 /— K4 (右 K5 (确 /返 ( 上键 ) 、 (下 键 ) 、 方 向 键 ) 、 认 回 K1 、 k4 键 K2 、 K3 实 键 ) 。使 用 时 通 过 实 现 光 标 的 左 右 移 动 , 现 光 标 的 上 下 移 动 和 数 字 的 加 减 。当 光 标 位 于 功 能 序 号 下 时 , 按 执 行 “ 确 认 ” 功 能 , 非 序 号 下 面 时 , 执 行 “返 回 ”功 能 , 即 返 K5 键 回 上 一 级 菜 单 。 4. 2 时 1下 钟 : 初 始 化 菜 单 界 面 时 , 光 标 位 于 序 号 面 , 对 K5 键 应 的 即 是 数 字 时 钟 功 能 , 按 进 入 数 字 时 钟 功 能 ( 如 果 再 按 便 返 回 上 一 级 菜 单 ) 。 K5 键 4 . 3 闹 2下 钟 : 初 始 化 菜 单 界 面 时 , 光 标 位 于 序 号 面 , 对 K5 键 K5 键 进 入 闹 钟 功 能 (如 果 再 按 便 应 的 即 是 闹 钟 功 能 , 按 返 回 上 一 级 菜 单 ) 。 4 . 4 温 3下 度 : 初 始 化 菜 单 界 面 时 , 光 标 位 于 序 号 面 , 对 应 的 既 是 温 度 测 定 功 能 , 按 进 入 数 温 度 功 能 (如 果 再 按 K5 键 K5 键 便 返 回 上 一 级 菜 单 ) 。 5 系 统 参 数 测 试 5 . 1 测 试 工 具 与 仪 器 ( 标 准 数 字 时 钟 1) 86 页 (接 )

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

多功能数字钟

多功能数字钟

1总体设计1.1总体思路的设计:多功能数字钟只要是以数字的形式显示时间,数字时钟系统由主电路和扩展电路两大部分组成的。

主电路完成数字钟的基本功能,包括24进制的时位,60进制的分位和60进制的秒位。

扩展电路完成数字钟的扩展功能。

振荡器是数字钟的核心,振荡器的频率越高,计时精度越高。

有555构成的多谐振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准脉冲.秒计数器满60后向分数器进位,分数器计满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出经译码器送显示器。

计时出现误差时可以用校时电路进行校时,校分,校秒。

当然,扩展电路必须在主体电路正常运行的情况下才能进行功能扩展,经过这样每一个单元的设计初步可以完成数字钟的设计。

1.2基本原理:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

脉冲每来1HZ计数器就进1,由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。

同时必需以标准的1HZ时间信号作为时钟驱动。

通常使用石英晶体振荡器电路构成数字钟。

图1所示为数字钟的一般构成框图。

⑴晶体振荡器电路:晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

2)次⑵分频器电路:分频器电路将32768HZ的高频方波信号经32768(15分频后得到1Hz的方波信号供秒计数器进行计数。

分频器实际上也就是计数器。

⑶时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

多功能语音报时数字钟的设计 (4)

多功能语音报时数字钟的设计 (4)

多功能语音报时数字钟的设计摘要:自进入21世纪以来,电子产业飞速发展,各种新兴的电子产品布满了电子专柜,电子产品几乎走进了家家户户,走进了社会的各个行业,有力的推动了社会生产力的发展和电子信息化、电子智能化的提高。

数字钟也在发生着各种各样的变化,由体积较大、功能少、运行不精确的机械钟变为在液晶显示屏上显示数字的数字钟,再到多种功能于一钟的语音报时数字钟等等。

本设计是实现一款可正常显示当前时间,测量当前温度,带有语音报时的多功能数字钟。

本设计是采用AT89C51单片机控制的多功能语音报时数钟,可以显示年、月、日、时、分、秒、星期、温度。

具有年、月、日、时、分校准功能,自动提取温度并显示功能,语音报时功能,调整时间按下相应键会发出嘟嘟提示声功能。

采用DS1302时钟芯片进行时钟控制,DS18B20传感器实现温度采集功能,LCD12864作为液晶显示模块,ISD1420语音芯片实现语音播报时间功能。

钟表的多功能化给我们生活带来了很大的改善,比如定时报警、备忘提醒、电气设备的自动断电、自动开启关闭等功能。

数字时钟对世界有着重要的影响,对工业、电子业、商业有着重要的推进作用,使用简单方便,成本低,显示直观,在广阔的市场具有非常很好的前景市场。

数字时钟之所以这么受广大的欢迎,它使用简单直观,数字时钟在显示方面能清楚的在LED显示屏上显示出年、月、日、周日、时、分、秒,还有调准时间的准确度的功能,并且能够显示当日的温度情况。

但目前市场上的数字时钟还比较机械性和传统性,在实际运用过程中不够灵活。

而随着社会的不断发展,人们对数字时钟的控制要求越来越高,数字时钟应用到很多方面,在报警和闹铃的方面都应用到数字时钟准确的计时功能,还有定时器,微波炉,自动通电器,数字时钟可以成为自己生活中的小助手,可以轻松掌控时间,又能了解天气及温度的变化。

语音报时多功能数字时钟改善了人们的生活质量,为人们的生活、工作带来极大的便利。

关键词:数字钟单片机温度液晶显示语音报时一、绪论时间对于我们每个人都很重要,包括老人和小孩,通过眼睛看时间,当然可以看到当前时间,但是对于视力不好老人和不认识钟表的小孩来说,语音报时数字钟就给他们带来了很大的帮助,只需要按下相应键就可以自动播报获得时间。

多功能数字时钟毕业设计【范本模板】

多功能数字时钟毕业设计【范本模板】

摘要数字电子时钟是人们日常生活中不可或缺的必需品.电子钟主要是利用现代电子技术将时钟电子化、数字化.与传统的机械钟相比,具有时钟精确、显示直观、无机械传动装置等优点,因而得到广泛应用.另外,在生活和工农业生产中,人们对电子钟的功能又提出了诸多要求:报时、闹钟、日历、温度显示,这就需要电子时钟的多功能性。

根据人们的不同要求,本设计主要为实现一款可正常显示时钟,测量环境温度、湿度,带有定时闹铃,倒计时的多功能电子时钟。

本设计采用液晶显示以其亮度高、显示直观等优点被广泛应用于智能仪器及家用电器等领域。

该时钟系统主要由时钟模块、闹钟模块、环境温度湿度检测模块、液晶显示模块、键盘控制模块以及信号提示模块组成.能够准确显示时间(显示格式为时时:分分:秒秒,24小时制),可随时进行时间调整,具有闹钟时间设置、闹钟开/关、止闹功能,能够对时钟所在的环境温度进行测量并显示。

设计以硬件软件化为指导思想,充分发挥单片机功能,大部分功能通过软件编程来实现,电路简单明了,系统稳定性高。

关键词:电子时钟;单片机;多功能;温湿度传感器AbstractDigital electronic clock is indispensable to daily life. Electronic clock is the use of modern electronic technology to clock electronic, digital. Compared with the traditional mechanical clock, a clock—accurate,intuitive display, no mechanical transmission device,etc.,and thus are widely used. In addition,the in the the in the life and industrial and agricultural production,, the people pairs of the the the function of of electronic bell also proposed a a lot of of the requirements of:timekeeping,alarm clock,calendar,temperature display, which requires the the the versatility of electronic clock。

本科毕业设计论文--eda课程设计报告多功能数字钟设计

本科毕业设计论文--eda课程设计报告多功能数字钟设计

湖北大学物电学院EDA课程设计报告(论文)题目:多功能数字钟设计专业班级: 14微电子科学与工程*名:**时间:2016年12月20日指导教师:万美琳卢仕完成日期:2015年12月20日多功能数字钟设计任务书1.设计目的与要求了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解2.设计内容1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开;2,能用按键调时调分;3,能整点报时,到达整点时,蜂鸣器响一秒;4,拓展功能:秒表,闹钟,闹钟可调3.编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。

4.答辩在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中)(空一行)1 引言 (1)2 总体设计方案 (1)2.1 设计思路 (1)2.2总体设计框图 (2)3设计原理分析 (3)3.1分频器 (4)3.2计时器和时间调节 (4)3.3秒表模块 (5)3.4状态机模块 (6)3.5数码管显示模块 (7)3.6顶层模块 (8)3.7管脚绑定和顶层原理图 (9)4 总结与体会 (11)多功能电子表摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能关键词:Verilog语言,多功能数字钟,数码管显示;1 引言QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。

利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然2 总体设计方案2.1 设计思路根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。

下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。

1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。

该译码器接收来自实时时钟(RTC)模块的BCD编码输出。

RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。

BCD 编码输出通过CD4543译码器转换为七段LED显示。

2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。

RTC模块可以提供年份、月份和日期的BCD编码输出。

这些编码输出通过CD4543译码器转换为七段LED显示。

3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。

我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。

然后,我们可以使用一个比较器来比较当前时间和闹钟时间。

如果它们匹配,闹钟就会响起。

4. 定时器功能
定时器功能可以通过555定时器来实现。

我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。

当定时器完成计时时,它可以触发一个报警器或执行其他操作。

总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。

这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

多功能数字时钟的功能和特点:1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号;2. 基本时钟计时功能;3. 闹钟功能;4. 计数器倒计时功能;5. 整点报时功能;6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止;7. 任意键关闭闹钟振铃功能(与懒人模式有关);8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃;9. 计数器手动启动功能;10. 一键启动或关闭闹钟或者计数器功能;11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统;12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间;13. 菜单设置功能,人机界面友好;14. 30秒不操作,自动退出菜单功能;15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作;16. 可以插上4×4小键盘进行快速操作;17. 键盘自动消抖;18. 4×4小键盘快捷键;19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置;20. “↑”、“↓”键连击功能实现快速数字设定;21. 时钟后台计时功能,查看菜单不会影响时钟计时。

22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。

************************************************************************多功能数字时钟的使用方法:一、时钟的设定和显示:1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。

第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。

例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。

例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。

例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。

2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”键)进入功能菜单,一共有三种功能菜单:“Set Clock”、“Set Alarm”、“Set Counter”,分别表示设置时钟、设置闹钟、设置计数器。

通过按接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择菜单,因为LCD1602只有两行,所以同时只能有2个功能菜单显示出来,其中最左边有一个“→”符号的表示本菜单是选中的功能菜单。

然后再次按“确定”键进入这一选中的功能进行设置。

另外,4×4小键盘上的“1”、“2”、“3”键分别是“Set Clock”功能、“Set Alarm”功能、“Set Counter”功能的快捷键。

在正常显示时间的界面下,也可以通过4×4小键盘上的“1”选择“Set Clock”功能,“2”选择“Set Alarm”功能,“3”选择“Set Counter”功能,再次按“确定”键或者4×4小键盘上的“1”、“2”、“3”键就可以进入对应功能。

3. 如果选择了“Set Clock”菜单,按“确定”键进入设置时钟的功能进行设置,这时液晶上第一行显示“Set Clock Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载当前显示的时间作为设置时间的基础。

其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。

其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。

数值的限定范围是自动进行的,比如设置h1时,当按下“↑”、“↓”键,就自动在0、1、2三个数字中循环,设置好以后,“←”、“→”键来选择下一位数字h0或者s0来设置。

按当按下4×4小键盘上的0-9的数字键,如果按的建不是0、1、2三个数字,按其他键是无效的。

当按下4×4小键盘上的有效数字键后,会自动进入设置h0的位置,同时h0开始闪烁。

4. 当设置完毕时钟的时间后,可以按“确定”键保存当前时钟的设置,再按“取消”(接口板s5键或者4×4小键盘上的“取消”键)退出菜单,回到正常显示时间的界面。

二、闹钟的操作:1. 首先,通过菜单设置好闹钟的时间,按“确定”保存当前闹钟的设置,再按“取消”退出菜单,回到正常显示时间的界面。

此时alarm_on(发光二极管D1)自动点亮,说明闹钟功能启动。

你也可以通过按alarm_sw键,关闭闹钟功能,此时alarm_on灭。

关闭后,如果不需要改动原来设置的闹钟时间,可以通过再次按alarm_sw键,开启闹钟功能,此时alarm_on亮。

2. 如果关闭了懒人模式(SW7键,lazy_mode,拨在上边),当时钟到达设定的闹钟时间,就会开始振铃1分钟然后停止,到明天同一时间会再次响起。

闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,就会关闭闹铃振铃,但不会关闭闹钟功能,alarm_on(发光二极管D1)不会受到影响,仍然亮。

3. 如果启动了懒人模式(SW7键,lazy_mode,拨在下边),当时钟到达设定的闹钟时间,就会响1分钟,然后停1分钟,这样一直循环响下去。

直到按下alarm_sw键(s1键)关闭闹钟(注意:关闭闹钟不会立刻关闭闹铃振铃,只不过明天、后天……闹钟就不会响了),或者关闭懒人模式为止(注意:关闭懒人模式也不会立刻关闭闹铃振铃,闹铃振铃要响完这一分钟才会停)。

在懒人模式下,闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,只能暂时关闭闹钟,一分钟后闹钟仍然会振铃。

4. 闹钟设置过程中,液晶上第一行显示“Set Alarm Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的闹钟时间作为设置闹钟的基础。

其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。

其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。

数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。

三、计数器的操作:1. 首先,通过菜单设置好需要计数器的计数时间,按“确定”保存当前计数器的设置,再按“取消”退出菜单,回到正常显示时间的界面。

此时cntalm_on(发光二极管D2)自动点亮,说明计数器功能启动,同时计数器开始倒计数。

你也可以通过按cntalm_sw键,关闭计数器功能,此时cntalm_on灭。

关闭后,如果不需要改动原来设置的计数器时间,可以通过再次按cntalm_sw键,开启计数器功能,此时cntalm_on亮,同时计数器开始倒计数。

注意:如果给计数器设置的计数值是0,则计数器自动关闭,cntalm_on熄灭,停止计数。

2. 如果打开了自动装载计数器功能(SW6键,is_manual_auto_start,拨在下边),此时若cntalm_on(发光二极管D2)亮,则计数器会每过设定的计数时间就会启动计数闹钟响15秒,如果设定的计数时间小于15秒,则计数闹钟会一直响。

直到按下cntalm_sw(s2键)关闭计数器闹钟,此时cntalm_on灭,计数器闹钟振铃也停止。

每当振铃结束时,cntalm_on(发光二极管D2)保持点亮,自动保持计数器的开启。

3. 如果关闭了自动装载计数器(SW6键,is_manual_auto_start,拨在上边),必须按下cntalm_sw(s2键),cntalm_on(发光二极管D2)亮,打开计数器才会倒计时,直到计数到1时,计数闹钟开始响,同时计数器停止计数,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。

振铃的过程中可以通过按下cntalm_sw(s2键),来关闭计数器振铃,同时cntalm_on(发光二极管D2)灭。

当通过菜单设置了计数器的计数时间后,cntalm_on(发光二极管D2)也亮,计数器也会自动开始倒计数,计数到1时,开始振铃,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。

4. 计数器设置过程中,液晶上第一行显示“Set Count Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的计数器时间作为设置计数器的基础。

其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。

其中h1可以是0-9,h0也可以是0-9,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。

数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。

四、整点报时功能:将接口板上的SW8键,is_need_alarm,拨在下边(H)表示需要需要整点报时,拨在上边(L)表示不需要整点报时。

整点报时功能启用时,当时钟每到59分56秒、57秒、58秒、59秒、和00分的00秒就会连响5下,其中前四声频率低,最后一声频率高,表示整点。

五、其他注意事项:1. 进入任何一级菜单后,如果30s内不按键(接口板上的s3-s8键或者4×4小键盘上的任意按键),则自动退出菜单,进入正常显示时间的模式。

2. 进入任何一级菜单后,时钟会在后台继续计时,如果没有重新设置时间,则时钟就不会受到任何影响,当前时间不会因为进入菜单而停止计时。

相关文档
最新文档