实验二 组合逻辑电路的设计与测试

合集下载

实验二 组合逻辑电路的设计与测试

实验二  组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试一、实验目的掌握组合逻辑电路的设计与测试方法二、实验器材1、THD-4型数字电路实验箱一台(双列直插式集成电路插座、+5V直流电源、逻辑电平开关、LED发光二极管显示器)2、集成芯片74LS00(四2输入与非门)3片、74LS20(双4输入与非门)3片三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。

设计组合电路的一般步骤是(1)根据设计任务的要求,列出真值表。

(2)用卡诺图或代数化简法求出最简的逻辑表达式。

(3)根据逻辑表达式,画出逻辑图,用标准器件构成电路。

(4)最后,用实验来验证设计的正确性。

四、实验内容1、设计一个四人无弃权表决电路(多数赞成则提案通过)本设计要求采用双4输入与非门(74LS20)实现。

要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。

设计步骤:根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。

Z = ABC+BCD+ACD+ABD= ABC·BCD·ACD·ABD最后画出用“与非门”构成的逻辑电路如图2-1所示图2-1 表决电路逻辑电路图2、设计一个保险箱的数字代码锁,该锁有规定的4位代码A 、B 、C 、D 的输入端和一个开锁钥匙孔信号E 的输入端,锁的代码由实验者自编(例如1001)。

当用钥匙开锁时(E=1),如果输入代码符合该锁设定的代码,保险箱被打开(Z 1=1),如果不符,电路将发出报警信号(Z 2=1)。

要求用最少的与非门(74LS00和74LS20)来实现,检测并记录实验结果。

1Z ABCD E ABCD E ABE CDE ===& & & & &。

实验2 组合逻辑电路的设计

实验2  组合逻辑电路的设计

4. 实验内容及要求 (1) 用与非门设计实现异或逻辑功能。 a) 按照组合逻辑电路的设计方法, 列出两输入异或逻辑函数的真值表, 写出最简 与或式、与非-与非式,画出与非门实现的逻辑电路图。 b) 使用集成电路芯片 74LS10 和 74LS20 中的与非门, 按照所设计的逻辑电路图连 接电路。 c) 选择使用数字电路实验装置中的逻辑电平输入开关和逻辑电平输出 LED 指示 灯,设计实验测试方案。 d) 记录并分析实验数据参考表 2-2, 说明所设计的电路是否实现预计的异或逻辑 功能。
以二值逻辑的 0、1 两种状态分别代表输入变量和输出变量的两种不同状态。这里 0 和 1 的具体含意完全是由设计者人为选定的。
3) 根据给定的因果关系列出逻辑真值表。 举例: “大月指示器”的逻辑功能如下:输入一年中的具体月份,电路能自动判别出“大月” 还是“小月” (大月有 31 天) 。 通过分析,逻辑抽象结果为:月份输入 ABCD 可以由 4 位二进制代码表示,例如 ABCD=0001 表示输入月份为 1 月, ABCD=0010 表示 2 月, ABCD=0011 表示 3 月,...... , ABCD=1100 表示 12 月;输出 Y 的逻辑值 1 或 0 分别表示信息“大月”或“小月” ,Y=1 表 示大月,Y=0 表示小月。列出真值表如表 2-1 所示。
得到最简与或式为选定器件的类型实际逻辑问题逻辑抽象逻辑函数化简变换表达画出逻辑连接电路实现为了实现最终的逻辑函数既可以用小规模集成门电路组成相应的逻辑电路也可以用中规模集成的常用组合逻辑器件或可编程逻辑器件等构成相应的逻辑电路
实验二 组合逻辑电路的设计
1. 实验目的 (1)熟练使用数字电路实验装置设计实验方案; (2)掌握用基本门电路实现组合电路的设计方法。 (3)掌握实现组合逻辑电路的连接及调试方法。 2. 实验仪器与材料 (1)数字电路实验装置 1 台; (2)双列直插集成电路芯片 74LS10、74LS20 各 1 片,导线若干。 3 . 知识要点 (一)组合逻辑电路的设计方法

实验二组合逻辑电路实验(半加器、全加器)

实验二组合逻辑电路实验(半加器、全加器)

5、记录实验结果(三)
3.全加器组合电路的逻辑功能测试
Ai
Bi
Ci-1
Y
0
0
0
0
0
1
0
1
0
0
1
1
1
0
0
1
0
1
1
1
0
1
1
1
Z
X1
X2
X3
Si
Ci
5、记录实验结果(四)
自己设计实现逻辑函数,给出逻辑电路连接图,并连接调试。
5、记录实验结果(选做)
(1)画出用异或门、或非门和与非门实现全加器的逻辑电路图,写出逻辑表达式。 (2)找出异或门、或非门和与非门器件,按自己设计画出的电路图接线,注意:接 线时,或非门中不用的输入端应该接地。与非门中不用的输入端应该接VCC。 (3)当输入端Ai Bi Ci-1为下列情况时,测量Si和Ci的逻辑状态并填入表格中
0
0
1
0
1
1
1
0
1
1
1
输出
Y1
Y2
(1)按上图接线(注意数字编号与芯片管脚编号对应) (2)写出Y2的逻辑表达式并化简。 (3)图中A、B、C接实验箱下方的逻辑开关,Y1,Y2接实验箱上方的电平显示发光管。 (4)按表格要求,拨动开关,改变A、B、C输入的状态,填表写出Y1,Y2的输出状态。 (5)将运算结果与实验结果进行比较 。
每个小组在数字电路试验箱上找到本次实验所需要的芯片 ,并查看芯片形状是否完好,芯片管脚有没有插牢。
2、查看数字电路实验箱
74LS86
74LS00
3、了解芯片
芯片管脚示意图
4、实验内容与结果(一)

组合逻辑电路的设计实验报告

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告篇一:数电实验报告实验二组合逻辑电路的设计实验二组合逻辑电路的设计一、实验目的1.掌握组合逻辑电路的设计方法及功能测试方法。

2.熟悉组合电路的特点。

二、实验仪器及材料a)TDs-4数电实验箱、双踪示波器、数字万用表。

b)参考元件:74Ls86、74Ls00。

三、预习要求及思考题1.预习要求:1)所用中规模集成组件的功能、外部引线排列及使用方法。

2)组合逻辑电路的功能特点和结构特点.3)中规模集成组件一般分析及设计方法.4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题在进行组合逻辑电路设计时,什么是最佳设计方案?四、实验原理1.本实验所用到的集成电路的引脚功能图见附录2.用集成电路进行组合逻辑电路设计的一般步骤是:1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表;2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式;3)画出逻辑图;4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。

五、实验内容1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。

1)列出真值表,如下表2-1。

其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。

2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。

按图选择需要的集成块及门电路连线,将Ai、bi、ci接逻辑开关,输出si、ci+1接发光二极管。

改变输入信号的状态验证真值表。

2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(b),一枪打兔子(c)。

实验二组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、设计半加器和全加器并测试其逻辑功能二.实验仪器及材料器件:74LS00 二输入端四与非门 1片74LS10 三输入端三与非门 1片74LS86 二输入端四异或门 1片三、实验原理1、设计组合电路的一般步骤如图2-1所示。

图2-1 组合逻辑电路设计流程图组合逻辑电路基本设计方法:(1)根据设计任务的要求建立输入、输出变量,并列出真值表。

(2)然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式(3)根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。

(4)最后,用实验来验证设计的正确性。

2、 组合逻辑电路设计举例设计任务: 用“与非”门设计一个四个人的表决电路。

当四个输入端中有三个或四个为“1”时,输出端才为“1”。

(同意用"1"表示,反对用"0"表示;决议通过用"1"表示,不通过用"0"表示。

)设计步骤:(1)根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。

表2-2(2) 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ⋅⋅⋅(3)根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。

图2-2 表决电路逻辑图(4)用实验验证逻辑功能A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1B 0 0 0 0 1 1 11 0 0 0 0 1 1 1 1C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 00 1 0 1 1 1 CD AB00 01 11 10 0001 111 1 1 110 1在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块74LS20。

组合逻辑电路的设计与测试实验报告总结

组合逻辑电路的设计与测试实验报告总结

组合逻辑电路的设计与测试实验报告总结
一、组合逻辑电路的设计与测试实验报告总结
1.组合逻辑电路的设计
组合逻辑电路是一种由数字电路组成的电路,可以使用计算机自动设计出一种实现特定功能的组合逻辑电路。

在设计组合逻辑电路时,应该先对要设计出的电路的功能特点作出简要分析,根据系统功能的需要,确定设计电路的输入、输出及简要功能,然后选择一种合适的建模语言,画出要实现的电路框架,并根据设计的功能特点,确定电路的功能逻辑关系,绘制出电路原理图,然后进行简单的仿真和验证,最后将电路接线调试完毕,实现功能。

2.测试实验报告总结
在组合逻辑电路测试实验中,我们根据给定需求,使用TTL逻辑IC、电阻、电容等元器件设计出一种实现开关抖动过滤的组合逻辑电路,最终实现了其功能。

在实验中,我们发现,使用合适的逻辑IC
及元器件,结合灵活恰当的电路设计,可以实现特定功能的电路设计。

从实验的结果来看,我们设计的组合逻辑电路,实现了基本的开关抖动过滤功能,并通过实验的验证,证明了设计有效。

实验表明,组合逻辑电路的设计与测试是能够有效地实现特定功能的电路设计
的关键,是建立数字电路的基础。

实验二 组合逻辑电路分析与设计实验报告

实验二 组合逻辑电路分析与设计实验报告

实验二组合逻辑电路分析与设计实验报告
姓名:李凌峰班级:13级电子1班学号:13348060
一、实验数据与相应原理图:
1、复习组合逻辑电路的分析方法,对实验中所选的组合电路写出函数式。

设计一个代码转换电路,输入为4位8421码,输出为4位循环码。

对应的各位码如下表所示。

2、实验逻辑函数式:
实际实验逻辑表达式(用一异或门代替与或门):
3、实际实验逻辑图:
4、实际实验操作图
二、实验操作记录
1,检测转换电路:
2,实测波形图
10hz方波:
G3 G2 G1 G0波形:
B1 B2 B3 B4波形图:
由以上波形图张图绘制出总的时序图如下:
三、心得与体会
1、这次实验所用器材用了异或门74LS86和异步计数器74LS197.分析组合逻辑电路
时,要先由给定的组合逻辑电路写函数式,然后对函数式进行化简或变换,再根据最简式列真值表,最后确认逻辑功能。

设计组合逻辑电路时,则应先根据给定事件的因果关系列出真值表,然后由真值表写函数式,再对函数式进行化简或变换,最后画出逻辑图,并测试逻辑功能。

2、对示波器的操作仍不够熟悉,在将示波器连接到实验箱的测试端时总是忘了要接地,
致使示波器显示信号不正常。

3、在比较波形时,借用同学的接口同时加载4个波形容易做出总的时序图。

数电实验二 组合逻辑电路

数电实验二  组合逻辑电路

实验二 组合逻辑电路一、实验目的1、熟悉组合逻辑电路的一些特点及一般分析、设计方法。

2、熟悉中规模集成电路典型的基本逻辑功能和简单应用设计。

二、实验器材1、直流稳压电源、数字逻辑电路实验箱、万用表、示波器2、74LS00、74LS04、74LS10、74LS20、74LS51、74LS86、74LS138、74LS148、74LS151、 74LS153三、实验内容和步骤 1、组合逻辑电路分析(1)图2-1是用SSI 实现的组合逻辑电路。

74LS51芯片是“与或非”门(CD AB Y +=), 74LS86芯片是“异或”门(B A Y ⊕=)。

建立实验电路,三个输入变量分别用三个 逻辑开关加载数值,两个输出变量的状态分别用两只LED 观察。

观察并记录输出变 量相应的状态变化。

整理结果形成真值表并进行分析,写出输出函数的逻辑表达式, 描述该逻辑电路所实现的逻辑功能。

(2)图2-2和2-3是用MSI 实现的组合逻辑电路。

图2-2中的74LS138芯片是“3-8译码 器”,74LS20芯片是“与非”门(ABCD Y =)图2-3中的74LS153芯片是四选一 数据选择器。

建立实验电路,对两个逻辑电路进行分析,列出真值表,写出函数的逻 辑表达式,描述逻辑电路所实现的功能。

图2-1:SSI 组合逻辑电路图2-2 :MSI 组合逻辑电路(74LS138)2、组合逻辑电路设计(1)SSI 逻辑门电路设计——裁判表决电路举重比赛有三名裁判:一个主裁判A 、两个副裁判B 和C 。

在杠铃是否完全举起裁 决中,最终结果取决于至少两名裁判的裁决,其中必须要有主裁判。

如果最终的裁决 为杠铃举起成功,则输出“有效”指示灯亮,否则杠铃举起失败。

(2)MSI 逻辑器件设计——路灯控制电路用74LS151芯片和逻辑门,设计一个路灯控制电路,要求能够在四个不同的地方都 能任意的开灯和关灯。

四、实验结果、电路分析及电路设计方案1、组合逻辑电路分析 (1)图2-1: 逻辑表达式:)()(11i i i i i i i i i i B A C S B A C B A C ⊕⊕=⊕+=--逻辑功能:实现A i 、B i 、C i-1三个一位二进制数 的加法运算功能,即全加器。

实验2组合逻辑电路的设计

实验2组合逻辑电路的设计

实验2组合逻辑电路的设计实验2 组合逻辑电路的设计⼀、试验⽬的1、掌握组合逻辑电路的设计⽅法。

2、掌握组合逻辑电路的静态测试⽅法。

3、熟悉CPLD设计的过程,⽐较原理图输⼊和⽂本输⼊的优劣。

⼆、实验的硬件要求1、输⼊:按键开关(常⾼)4个;拨码开关4位。

2、输出:LED灯。

3、主芯⽚:Altera EPM7128SLC84-15。

三、实验内容1、设计⼀个四舍五⼊判别电路,其输⼊为8421BCD码,要求当输⼊⼤于或等于5时,判别电路输出为1,反之为0。

2、设计四个开关控制⼀盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变。

(即任⼀开关的合断改变原来灯亮灭的状态)3、设计⼀个优先排队电路,其框图如下:排队顺序:A=1 最⾼优先级B=1 次⾼优先级C=1 最低优先级要求输出端最多只能有⼀端为“1”,即只能是优先级较⾼的输⼊端所对应的输出端为“1”。

四、实验连线1、四位拨码开关连D3、D2、D1、D0信号对应的管脚。

OUT输出信号管脚接LED灯。

2、四位按键开关分别连K1、K2、K3、K4信号对应的管脚。

OUT输出信号管脚接LED灯。

3、A、B、C信号对应管脚分别连三个按键开关。

输出A_Out、B_Out、C_Out信号对应的管脚分别连三个LED灯。

(具体管脚参数由底层管脚编辑决定)五、参考原理图1、①原理图,如图2-1所⽰:②VHDL硬件描述语⾔输⼊:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity bcd_pjq isport(din:in integer range 15 downto 0;dout:out std_logic);end;architecture a of bcd_pjq isbeginp1:processbeginif din<5 thendout<='0';elsedout<='1';end if;end process p1;end;2、①原理图,如图2-2所⽰:②VHDL硬件描述语⾔输⼊:library ieee; use ieee.std_logic_1164.all;entity led_control isport(k0,k1,k2,k3:in std_logic;y:out std_logic); 图2-1图2-2end ;architecture one of led_control is signal dz:std_logic_vector(3 downto 0); begindz<=k3&k2&k1&k0p1:process(dz)begincase dz iswhen "0000"=>y<='0';when "0001"=>y<='1';when "0011"=>y<='0';when "0010"=>y<='1';when "0110"=>y<='0';when "0111"=>y<='1';when "0101"=>y<='0';when "0100"=>y<='1';when "1100"=>y<='0';when "1101"=>y<='1';when "1111"=>y<='0';when "1110"=>y<='1';when "1010"=>y<='0';when "1011"=>y<='1';when "1001"=>y<='0';when "1000"=>y<='1';when others=>y<='X';end case;end process p1;end one;3、①原理图,如图2-3所⽰:②VHDL 硬件描述语⾔输⼊:library ieee;use ieee.std_logic_1164.all; entity queue_prior is图2-3port(a,b,c:in std_logic;aout,bout,cout:out std_logic); end ;architecture one of queue_prior is beginp1:processbeginif a='1' thenaout<='1';bout<='0';cout<='0';elsif b='1' thenaout<='0';bout<='1';cout<='0';elsif c='1' thenaout<='0';bout<='0';cout<='1';elseaout<='0';bout<='0';cout<='0';end if;end process p1;end one;六、实验报告要求1、对于原理图设计要求有设计过程。

数电实验二 组合逻辑电路

数电实验二 组合逻辑电路

实验二 组合逻辑电路一、实验目的1.掌握组和逻辑电路的功能测试。

2.验证半加器和全加器的逻辑功能。

3.学会二进制数的运算规律。

二、实验仪器及器件1.仪器:数字电路学习机2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片三、实验内容1.组合逻辑电路功能测试(1).用2片74LS00按图2.1连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

(2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示(3).按表2.1要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。

(4).将运算结果与实验比较。

Y1=A+B2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。

根据半加器的逻辑表达式可知,半加器Y 是A 、B 的异或,而进位Z 是A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,如图2.2。

(1).用异或门和与非门接成以上电路。

输入A 、B 接电平开关,输出Y 、Z 接电平显示。

(2).按表2.2要求改变A 、B 状态,填表。

3.测试全加器的逻辑功能。

(1).写出图2.3电路的逻辑表达式。

(2).根据逻辑表达式列真值表。

(3).根据真值表画逻辑函数SiCi 的卡诺图。

111S i C i4.测试用异或门、与或门和非门组成的全加器的功能。

全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或非门和一个与非门实现。

(1).写出用异或门、与或非门和非门实现全加器的逻辑表达式,画出逻辑电路图。

(2).连接电路图,注意“与或非”门中不用的“与门”输入端要接地。

(3).按表2.4记录Si 和Ci 的状态。

1-⊕⊕=i i C B A S ,AB C B A C i i +⊕=-1)(A i S iB i+ C i C i-1四、 1.整理实验数据、图表并对实验结果进行分析讨论。

实验二 组合逻辑电路编码器译码器的设计与测试

实验二 组合逻辑电路编码器译码器的设计与测试

实验二组合逻辑电路编码器、译码器的设计与测试实验二组合逻辑电路编码器、译码器的设计与测试一、实验目的:1、掌握组合逻辑电路的设计与测试方法;2、通过对编码器、译码器功能的测试掌握其原理。

二、实验设备及元器件:数字电路实验箱相关器件:74LS00 (四二输入与非门) 74LS04 (六反相器)74LS08 (四二输入与门) 74LS148 (8线-3线优先编码器) 其他设计所需的相关芯片,可以向老师索取。

三、实验内容:1. 用小规模集成门设计2线---4线译码器:(实验教材P67)(1) 2线---4线译码器原理:输入2位二进制代码,每组码值分别对应于4个输出端中的一个端子有效,有效输出可以是高电平有效,或者低电平有效,这由设计者的设计方案决定。

(2) 根据译码原理列写真值表如下:输出端低电平有效输出端高电平有效(3) 写出表达式、画逻辑图、按逻辑图选相应器件搭建电路: 2-4线译码器的电路原理图1所示A B 0 0 0 1 1 0 1 1 F0 F1 F2 F3 0 1 1 1 1 0 1 1 1 1 0 1 11 1 0 A B 0 0 0 1 1 0 1 1 F0 F1 F2 F3 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 图1 2-4线译码器(4)A、B端接高低电平开关,F0、F1、F2、F3接至逻辑指示灯,列表记录A、B不同组合时,F0、F1、F2、F3的状态。

注意:图中画出的是高电平有效的2-4译码器,用二输入与非门(74LS00)和反相器(74LS04);为减少连线,也可不用输出端反相器,输出译码端为低电平有效。

2.中规模集成编码器功能测试:74LS148(1) 编码器原理:为区分一系列不同的事物,将其中的每个事物用一个二值代码表示,这就是编码。

编码器的功能,就是将各输入端的高、低电平形式所代表的信息,译成相应的代码输出。

优先编码器,在设计时对输入的待编码信息端按优先顺序排了队,当几个输入信号同时待编码时,只对其中优先权最高的一个进行编码。

实验二++组合逻辑电路的设计和测试

实验二++组合逻辑电路的设计和测试
• 思索题: 6、与或非门中,当某一组与端不用时, 应怎样处理?
一、试验目旳
• 掌握组合逻辑电路旳设计与测 试措施
二、组合逻辑电路旳设计流程
三、试验设备与器件
• 1、电子技术试验箱 • 2、数字万用表 • 3、主要参照器件
74LS00×2、74LS20×3、 74LS86、74LS08、74LS51×2、 74LS32、74LS02 、74LS04
• 3、画出逻辑图
74LS00
• 用异或门、与门构成旳半加器 • 逻辑体现式:
• 逻辑图
74LS86 74LS08
• 二、全加器
• 1、列出全加器真值表
• 2、写出并化简体现式
• 3、画出逻辑图
74LS86 74LS08
74LS32
• 三、用试验验证上述电路旳逻辑功能
• 1、按设计旳逻辑电路图连线
• 2、按实际选用逻辑门旳类型,用逻辑代数和卡诺 图化简两种措施求出简化旳逻辑体现式
• 3、根据修改后旳体现式,画出用原则器件构成旳 逻辑电路图,并标注管脚号。
• 4、写出完整设计过程;熟练使用仿真软件,并进 行仿真(没学过仿真软件旳专业,能够不仿真)
• 思索题:5、怎样用最简朴旳措施验证与或非门旳 逻辑功能是否完好?
试验二、组合逻辑 电路旳设计及测试
• 试验内容:
• 1、设计用与非门及用 异或门、与门构成旳半加器(74LS00、 74LS86、74LS08)
• 2、设计一种一位全加器,要求用异或 门、与门及或门构成(74LS86、 74LS08、74LS32)
• 3、设计一位全加器,要求用与或非门 实现(74LS51)
四、试验内容
• 试验内容: • 1、设计用与非门,以及用异或门、与门构成

组合逻辑电路的设计与测试

组合逻辑电路的设计与测试

④画出逻辑图 。
L
C AB 00 01 11 10
0
1
1
1 1
L AB AC AB AC
⑤选择芯片并连接。
TTL:
2输入与门:74LS00
四、思考题
1. 针对实验1,若要求只使用74LS00芯片,如何设计三人表决 器? 要求: 有一名主裁和两名副裁,只有当两名以上的裁判赞同,输出 红灯才会亮,否则红灯灭。(要求写出设计过程,包括逻辑表 达式和逻辑图,并验证逻辑功能)
三、实验内容
1.用二输入与非门74LS00设计三人表决器 要求: 有一名主裁和两名副裁,只有当两名以上的裁判赞同,输出红 灯亮,否则红灯灭。(要求写出设 计过程,包括逻辑表达式和逻辑图,并验证逻辑功能)
图3-1-2 74LS20芯片引脚图
分析:
①设A、B、 C :三人的意见。 同意为逻辑“1”;不同意为逻辑“0”
决办法;
L:表决结果。
事件通过为逻辑“1”;没通过为逻辑“0” 列出真值表如右表所示。
输入 A B 0 0 0
0 1 1 1 1
输出 C L 0 1 0
1 0 1 0 1
0 0 1
1 0 0 1 1
0 0 0
1 0 1 1 1
②由真值表写出逻辑表达式:
L A BC AB C AB C ABC
三 实验原理
1.使用中、小规模集成电路来设计组合电路是最常 见的逻辑电路设计方法。设计组合电路的一般步骤如图所 示。
步骤: ①根据对逻辑功能要求,可能有芯片要 求,列真值表 ②由真值表写出逻辑表达式 ③根据要求化简和变换逻辑函数表达式 ④根据要求画出逻辑图 ⑤芯片选择,接成实物 ⑥分析并比较设计的优劣 设计目标:电路简单,所用器件最少,可靠性好 等

实验二组合逻辑电路编码器译码器的设计与测试

实验二组合逻辑电路编码器译码器的设计与测试

实验二组合逻辑电路编码器译码器的设计与测试一、实验目的1.掌握编码器的原理和基本结构;2.了解译码器的原理和基本结构;3.掌握编码器和译码器的设计方法;4.通过实验,验证编码器和译码器的功能。

二、实验原理编码器是一种将多个输入信号转换为二进制编码输出的组合逻辑电路。

编码器的输入信号可以是多个,输出信号是二进制编码。

编码器主要用于将多个不同的输入信号通过编码转换为数字输出,使得电路的复杂度得到简化。

译码器就是编码器的逆过程,译码器是一种将二进制编码转换为多个输出信号的组合逻辑电路。

译码器的输入信号是二进制编码,输出信号可以是多个。

编码器和译码器是数字电路中非常重要的组合逻辑电路,广泛应用于计算机、通信、控制等领域。

三、实验内容根据所给的真值表,设计并实现一个2-4线的编码器;设计和实现一个4-2线的译码器;验证实验结果。

四、实验仪器和器件五、实验步骤1.编码器的设计和实现根据所给的真值表,设计并实现一个2-4线的编码器。

首先,根据编码器的输入和输出关系,设计出2-4线的编码器的真值表,并根据真值表进行逻辑设计。

编码器的输入信号有2个,输出信号是4位的二进制编码。

最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。

调试完毕后,记录下测试结果。

2.译码器的设计和实现设计和实现一个4-2线的译码器。

首先,根据译码器的输入和输出关系,设计出4-2线的译码器的真值表,并根据真值表进行逻辑设计。

译码器的输入信号是4位的二进制编码,输出信号有2个。

最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。

调试完毕后,记录下测试结果。

3.验证实验结果通过对编码器和译码器的测试,验证实验结果是否符合设计要求。

当输入信号发生变化时,观察LED灯的亮灭情况,确认编码器和译码器的功能是否正确。

六、实验结果与分析经过实验测试,编码器和译码器的功能正常,符合设计要求。

输入信号的变化能够正确地转换为二进制编码输出;输入二进制编码信号能够正确地转换为输出信号。

实验二 组合逻辑电路的设计与测试

实验二 组合逻辑电路的设计与测试
实验二
组合逻辑电路的设计与测试
一、实验目的 掌握组合逻辑电路的设计与测试方法 . 二、实验原理 设计组合电路的一般步骤: ★逻辑抽象:既将文字描述的逻辑命题转换成真值表。首先 要分析逻辑命题,确定输入、输出变量;然后用二值逻辑 的0、1两种状态分别对输入、输出变量进行逻辑赋值,即 确定0、1的具体含义;最后根据输出与输入之间的逻辑关 系列出真值表。 ★写出逻辑表达式:根据真值表列出逻辑表达式. ★对逻辑函数进行化简或变换. ★根据逻辑函数表达式及选用的逻辑器件画出逻辑电路图。
解: a.设主裁判为变量A,副裁判分别为B和C;
表示成功与否的灯为Y。 1 进行逻 辑抽象 b.裁判同意成功设为1,不同意为0; 灯亮为成功,用1表示,否则用0表示。
c.根据逻辑要求列出真值表:
A 0 0 0 0 B 0 0 1 1 C 0 1 0 1
Y
0 0 0 0
A 1 1 1 1
B 0 0 1 1
=1
Y
B
第4章
设 计 流 程

设计1:
用与非门设计一个举重裁判表决电路。设举重比赛有3个裁 判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一 个裁判按一下自己面前的按钮来确定。只有当两个或两个以 上裁判判明成功,并且其中有一个为主裁判时,表明成功的 灯才亮。 设计2: 设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上 的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用 楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯, 下楼后,用楼下开关关灭电灯。
C 0 1 0 1
Y 0 1 1 1
逻辑要求:只有当两个或两个以上裁判判明成
功(1),并且其中有一个为主裁判(A)时,
表明成功的灯才亮(1)。

实验二-组合逻辑电路设计与实现-

实验二-组合逻辑电路设计与实现-
缺点。
思考题: 1. 采用74LS151八选一的数据选择器,重新设计实验内容2中的
②题 。 2. 通过具体的设计体验后,你认为组合逻辑电路设计的关键点 或关键步骤是什么?
13
输入
输出
s A1 A0
1×× 00 0 00 1 01 0 01 1
Q
0
D0
D1
D2
D3
7
实验二 组合逻辑电路设计与实现
(3)采用数据选择器实现逻辑函数 1)将双 4选1 数据
选择器 CT74LS153 扩 展成 8选1 数据选择器:
8
实验二 组合逻辑电路设计与实现
将双 4选1 数据选择器 CT74LS153 扩展成 8选1 数据选择器:
如使 F=1Y ,则令
A1A,A0=B
比较得:
V cc
+5V
B
16 15 14 13 12 11 10
9
V cc 2S A 0 2D 3 2D 2 2D 1 2D 0 Q
D0=0,D1=C,D2=C,D3=1
74LS153
1S A 1 1D 3 1D 2 1D 1 1D 0 Q G N D
12
345
9
实验二 组合逻辑电路设计与实现
2)用双4选1数据选择器 CT74LS153 实现逻辑函数
F A B C A B C AC B ABC 解: CT74LS153输出函数为:
1 Y A 1 A 0 1 D 0 A 1 AD 3
1
实验二 组合逻辑电路设计与实现
三. 实验原理
1、二进制译码器
如:2-4线译码器74LS139、 3-8线译码器74LS138 和 4-16线译码器74LS154。

组合逻辑电路的设计与测试实验原理和内容

组合逻辑电路的设计与测试实验原理和内容

组合逻辑电路的设计与测试实验原理和内容下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。

文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!组合逻辑电路的设计与测试实验原理和内容1. 引言在数字电子技术领域,组合逻辑电路是一种重要的电路类型,它由逻辑门构成,用于实现逻辑功能。

实验二 组合逻辑电路分析与设计

实验二  组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计一、实验目的1.掌握组合逻辑电路的分析方法与测试方法;2.掌握组合逻辑电路的设计方法。

二、实验预习要求1.熟悉门电路工作原理及相应的逻辑表达式;2.熟悉数字集成电路的引脚位置及引脚用途;3.预习组合逻辑电路的分析与设计步骤。

三、实验原理通常, 逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。

电路在任何时刻, 输出状态只决定于同一时刻各输入状态的组合, 而与先前的状态无关的逻辑电路称为组合逻辑电路。

1.组合逻辑电路的分析过程, 一般分为如下三步进行:(1)由逻辑图写出输出端的逻辑表达式;(2)画出真值表;(3)根据对真值表进行分析, 确定电路功能。

2. 组合逻辑电路的一般设计过程为图实验2.1所示。

设计过程中, “最简”是指电路所用器件最少, 器件的种类最少, 而且器件之间的连线也最少.四、实验仪器设备1. TPE-ADⅡ实验箱(+5V电源, 单脉冲源, 连续脉冲源, 逻辑电平开关, LED显示, 面包板数码管等)1台;2. 四两输入集成与非门74LS00 2片;3. 四两输入集成异或门74LS86 1片;4. 两四输入集成与非门74LS20 3片。

五、实验内容及方法1. 分析、测试74LS00组成的半加器的逻辑功能。

(1)用74LS00组成半加器, 如图实验2.2所示电路, 写出逻辑表达式并化简, 验证逻辑关系。

Z1=AB;Z2= Z1A = ABA;Z3= Z1B = ABB;Si= Z2Z3 = ABA ABB = ABA+ABB = AB+ AB = A + B;Ci = Z1A = AB;(2)列出真值表。

(3)分析、测试用异或门74LS86与74LS00组成的半加器的逻辑功能, 自己画出电路, 将测试结果填入自拟表格中, 并验证逻辑关系。

评价: 通过这种方法获得测试结果和上述电路完全相同, 并且在有异或门的情况下实现较为简单, 所以我们应当在设计的时候在条件允许的情况实现最简。

实验2 组合逻辑电路实验分析

实验2  组合逻辑电路实验分析

实验二组合逻辑电路实验分析一、实验目的1.掌握组合逻辑电路的分析方法与测试方法。

2.了解组合电路的冒险现象及其消除方法。

二、实验设备与器材1.数字电路实验箱2.双踪示波器3.万用表4.CD4011×25.CD4030 CD4071各一个三、实验内容组合逻辑电路的分析是根据所给的逻辑电路,按逻辑门的连接方式,逐一写出相应的逻辑表达式,列出真值表,并画出卡诺图,判断能否简化。

1、分析测试半加器的逻辑表达式(1)写出测试半加器的逻辑表达式图1 与非门组合成的半加器电路(2)根据表达式列出真值表,并画出卡诺图判断能否简化(3)根据图1,在实验箱选定两个14P插座,插好两片CD4011并接好联机,A、B两输入接至逻辑开关的输出插口。

S、C分别接至逻辑电平显示输入插口。

按下表2的要求进行逻辑状态的测试并将结果填入表中,同时与上面真值表进行比较,两者是否一致。

2、分析、测试用异或门和非门组成的半加器逻辑电路异或门CD4030和与非门CD4011组成的半加器逻辑电路如图2所示,根据半加器的逻辑表达式可知,半加器的和S是A、B的异或,而进位C是A、B的相与,故半加器可用一个集成异或门和二个与非门组成。

测试方法同上述3项,将测试结果填入自拟表格中,并验证逻辑功能。

图2 异或门组成的半加器逻辑电路3、观察冒险现象按图3接线,当B=1,C=1时,A输入矩形波(f=20KHZ以上),用示波器观察Z输出波形。

然后,用添加校正项的方法消除险象。

图3 逻辑电路图四、实验报告五、按要求准备好组合逻辑电路的设计与测试按组合逻辑电路设计的步骤要求,选择实验内容中的其中一个进行设计(1)根据设计任务的要求,画出真值表;(2)用卡诺图或代数化简法求出最简的逻辑表达式;(3)根据逻辑表达式,画出逻辑图,用标准器件构成电路;(4)用实验来验证设计的正确性。

实验2 组合逻辑电路设计与测试

实验2 组合逻辑电路设计与测试

实验2 组合逻辑电路设计与测试
一、实验目的
(1)熟练掌握组合逻辑电路的特点和一般分析、设计和测试方法
(2)理解并掌握半加器和全加器的逻辑功能
二、实验仪器与器件
(1)实验仪器:数字实验台、双踪示波器、万用表
(2)实验器件:74LS00、74LS86、74LS20
三、实验内容
(1)用74LS00和74LS86设计一个半加器,并进行逻辑功能测试。

(a)写出设计过程,画出原理图和实验图。

(b)按实验图连接好,测试其逻辑功能,列出测试的真值表。

(2)全加器逻辑功能测试
(a)将74LS00和74LS86按下图所示连线,测试并列出真值表如下表所示。

(b)写出S、C的逻辑表达式。

四、实验注意事项
信号电平为+5V,正负电极不要接错。

五、实验报告
(1)写出设计过程,画出实验电路图,并记录测试所得数据;
(2)简述实验中遇到的问题及解决方法。

(选做)设计一个电子锁,如图所示,其中A、B、C、D是四个二进制代码输入端,E为密码输入确认端(当E=0时,表示确认)。

每把锁有四位密码(设该锁的密码为1011),若输入代码符合该锁密码,并E =0确认时,送出一个开锁信号(F1=1),用于开锁指示的发光二极管亮;若输入代码不符合该锁密码,并E =0确认时,送出报警信号(F2=1),用于报警指示的发光二极管亮,并驱动报警电路;若E =1时,不送出任何信号。

(1)写出设计过程。

(2)要求用最少的与非门实现。

(3)画出实验电路图。

(4)搭试电路进行验证,并记录实验结果。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验二组合逻辑电路的设计与测试
一、实验目的
1、掌握组合逻辑电路的设计与测试方法
2、设计半加器和全加器并测试其逻辑功能
二.实验仪器及材料
器件:74LS00 二输入端四与非门 1片
74LS10 三输入端三与非门 1片
74LS86 二输入端四异或门 1片
三、实验原理
1、设计组合电路的一般步骤如图2-1所示。

图2-1 组合逻辑电路设计流程图
组合逻辑电路基本设计方法:
(1)根据设计任务的要求建立输入、输出变量,并列出真值表。

(2)然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式
(3)根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。

(4)最后,用实验来验证设计的正确性。

2、组合逻辑电路设计举例
设计任务:用“与非”门设计一个四个人的表决电路。

当四个输入端中有三个或四个为“1”时,输出端才为“1”。

(同意用"1"表示,反对用"0"表示;决议通过用"1"表示,不通过用"0"表示。


设计步骤:
(1)根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。

表2-2
(2)由卡诺图得出逻辑表达式,并演化成“与非”的形式
Z=ABC+BCD+ACD+ABD
=ABC
ACD


ABC⋅
BCD
(3)根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。

图2-2 表决电路逻辑图
(4)用实验验证逻辑功能
在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块74LS20。

按图2-2接线,输入端A、B、C、D接至逻辑电平开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2-1进行比较,验证所设计的逻辑电路是否符合要求。

四、实验内容
1、用“与非”门设计一个三人表决电路。

当三个输入端中有两个或三个为“1”时,输出端才为“1”。

(同意用"1"表示,反对用"0"表示;决议通过用"1"表示,不通过用"0"表示。

)。

要求按上面所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。

2、设计用异或门及与非门组成的半加器电路。

3、设计用异或门及与非门组成的全加器电路。

五、实验报告
1、列写实验任务的设计过程,画出设计的电路图。

2、对所设计的电路进行实验测试,记录测试结果。

3、组合电路设计体会。

相关文档
最新文档