24进制计数器设计
二十四进制计数器实验报告
二十四进制计数器实验报告实验成员:88155010 李仲哲88155037 周梓成硬件:DE2-70驱动板实验要求:使用Verilog硬件描述语言设计一个基本时序逻辑电路1位24进制计数器,并可控制加减。
并利用驱动板自带晶振。
实验步骤:首先创建新工程,编写Verilog HDL语言设计代码。
代码如下:module qwe(iclk,rst_n,flag,q,overflow);input iclk,flag; --------------------------------输入端口声明。
input rst_n;--------------------------------------输出端口声明。
output reg [4:0] q; ---------------------------- 分配输出信号灯q0,q1,q2,q3,q4。
integer i;output overflow;wire clk_1Hz;Divider50MHz (1'b1,iclk,i1hz); -----------------引用分频器代码Divider50MHz.v中的变量i1hz。
always @(posedge i1hz or negedge rst_n)beginif(~rst_n) q <= 5'h0;elsebeginif(flag) i = 1; ----------------------------------当变量为1时计数器加。
else i = -1; ---------------------------------反之计数器减。
if(5'h17 == q) q <= 5'h0;else q <= q + i;endendassign overflow = 5'h17 == q;其中flag为控制加减开关变量,我们为其分配开关SW0引脚为PIN_AA23。
EDA 24进制计数器的设计
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。
2、要求具备使能功能和异步清零功能。
3、设计完成后生成一个元件,以供更高层次的设计调用。
4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。
把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。
然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。
五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。
二十四进制计时器说明书
二十四进制计时器说明书一、实验目的:时刻警戒我们要珍惜时间。
二、实验器件:包括秒脉冲发生器、计数器、显示电路和控制电路(辅助时序控制电路)等个部分组成。
计时电路递增计时,每隔1秒钟,计时器加1其中计数器和控制电路是系统的主要部分。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示功能。
当计时器递增计时到24(即定时时间到)时,显示器上显示24,随后变为00进入下一次的循环。
三、实验原理:8421BCD码递增计数器计数器选用中规模继承74LS290进行设计较为简便,74LS290有十进制异步加/减计数功能。
当S9A=S9B=R0A=R0B=0时,时钟脉冲加入到个位CP0 端,且等于1,则计数器在预置数的基础上完成加法计数功能,当加计数到9时,QD 端发出进位下跳脉冲;十位上的数开始加1,最终变为24随后变为00进入下一次的循环四、实验内容:设计名称:24进制计时器本设计是由74LS290来充当计数器,构成24秒计时电路。
该电路简单,设计功能完善,能实现直接清零、启动和暂停/连续计时。
电路图:引言:我们平时很少会珍惜时间,特别是一天,更何况是二十四秒。
二十四可以代表好多:一天是二十四个小时,还有二十四节气。
那么这二十四秒计时器就提醒我们要珍惜每一分秒、每一天,谨记二十四节气。
下面我给大家介绍一个关于珍惜时间的重要性和二十四时节的例子:(一)、“明日复明日,明日何其多,我生待明日,万事成蹉跎!世人若被明日累,春去秋来老将至,朝看水东流,暮看日西坠,百年明日能几何?”这首<<明日歌>>讲述着一个期待明天,而让今日闲过的故事,听寒号鸟微弱的叫声,大严冬中响起:“寒风冻死我,明日就垒窝”。
秋风萧瑟,严冬即将来临,寒号鸟冻坏了,于是它说:“寒风冻死我,明日就垒窝”。
天亮了,看看暖暖的阳光,还是先享受吧,明天再开始垒窝吧,又到了晚上“寒风冻死我,明日就垒窝”。
设计一个24进制计数器
一.整体清零法实现24进制计数器
1.设计过程:
【该部分打印报告时可留出足够的空白处手写完成,也可以电子版的形式直接书写到此处】
2.所用器件:
【包括器件型号、功能及数量】
3.仿真实现过程:
【仿真电路图可以以附表的形式在实验报告后面单,此处描述仿真调试过程,即:在调试时遇到了哪些实际问题,你是如何解决的?】
二.整体置数法实现24进制计数器
1.设计过程:
2.所用器件:
3.仿真实现过程:
实验心得
附录1:整体清零法仿真电路图
附录2:整体置数法仿真电路图
附录2:方案二仿真电路图
附录3:方案三仿真电路图
附录4:方案四仿真电路图
提高要求:用数码管显示24个状态对应的十进制数【完成附带该部分功能的全部功能最高平时成绩10分】(如实验室不具备搭接实物条件,仿真完成并对原理清楚掌握,能够顺利回答老师验收时提问即可。)
5.在该实验报告中要有完整的设计过程、仿真电路图和实验调试过程。
6.总结本次实验的收获、体会以及建议,填入本实验报告的相应位置中。【收获、体会必须写!】
阶段性考核之三:【平时成绩10分】
时序逻辑部分设计型实验报告
实验题目
设计一个24进制计数器
学生姓名
班 级
学 号
任课教师
实验成绩
完成时间
实验题目
设计一个24进制计数器
实验目的
本次实验要求学生设计一个24进制计数器电路。其目的在于:
1.使学生深入理解分立元件构成的时序逻辑电路设计过程;
2.进一步锻炼学生的动手实践能力。
具体
实验
要求
选用4位二进制集成计数器74LS161设计一个24进制计数器。
24进制计数器报告
电子技术课程设计报告
题目:8421BCD码的24进制计数器
学年:2011—2012学年学期:上学期专业:电子信息工程2班班级: 2010级学号:20100662208 姓名:许浩南指导教师及职称:孙怀东教授
2011-12-25
8421BCD码的24进制计数器
实验要求:
用两片同步可预置4位二进制加法器计数器74ls163和门电路设计一个8421BCD码的二十四进制计数器,要求写出设计过程,画出连线图。
设计过程:
74LS163是十六进制计数器,题目要求用两片74LS153制成二十四进制,先用同步置数法把第一片(右边)芯片制成十进制。
即在状态1001时,通过与非门74LS00D向第一片芯片的置数端一个低电平信号,使第一片有0~9状态,同时向第二片的EP和ET端一个有效信号,使第二片开始工作。
当第一片状态为0011,第二片状态为0010时,通过与非门
74LS10D给两片的清零端一个低电平信号,使数码管显示23时制成0。
有0~23状态,使整个电路构成二十四进制计数器。
(数电课设)二十四进制计数器
一.课程设计目的《电子技术基础2-2课程设计》是学习理论课程之后的实践教学环节。
目的是通过解决比较简单的实际问题巩固和加深在《电子技术基础2-2(数字电子技术基础)》课程中所学的理论知识和实验技能。
训练学生综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。
使学生初步掌握数字电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。
数字电子课程设计是理论教学之后的一个综合性实践教学环节,是对课程理论和课程实验的综合和补充。
学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。
不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。
理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。
在设计的过程遇到各种各样的问题,同时在设计的过程中发现自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固所学的知识。
二.设计方案论证1.设计方案的选择通过查阅资料对不同的设计方案进行比较论证,根据现有条件选择合适的设计方案,Multisim有其丰富的仿真分析能力以及完整的电路原理图图形输入和电路硬件描述语言输入方式,结合了直观的捕捉和功能强大的仿真特点,能快速、轻松、高效地对电路进行设计和验证。
引入Multisim软件帮助我们快速且轻松地将刚学到的理论知识用计算机仿针真实地再现出来。
二十四进制计数器设计
目录摘要 (1)1. 设计任务 (2)1.1 设计目的 (2)1.2 设计指标 (2)1.3 设计要求 (2)2.设计思路与总体框图 (3)3.系统硬件电路的设计 (3)3.1 555多谐荡电路 (3)3.2 计数器电路 (5)3.3 译码和显示电路 (6)4.系统设计仿真 (6)4.1各功能元件的选用与分析 (6)一.74LS48译码器 (6)二. 74LS08芯片 (7)三. 计数及译码显示 (8)四. 共阴极七段数码管显示器 (10)五.电阻 (11)六.电容 (15)4.2仿真原理总设计图 (17)5. 系统硬件焊接与调试 (18)5.1焊接步骤 (18)5.2元件清单 (18)5. 3实物图 (19)5.2硬件电路测试 (20)总结 (21)致谢 (22)参考文献 (23)二十四进制计数器设计摘要:24进制数字钟是一种用数字电路技术实现时计时的装置,与机械式时钟相比具有更高的准确性和直观性。
此次设计与制作24进制电子数字钟时计数、译码、显示电路需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种时计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。
本次设计是基于24进制电子数字钟的原理,实现具有24进制清零功能的电子钟,它主要由脉冲、二-五-十进制加法器74LS90、译码器74LS48、共阴极LED数码管等四个模块构成。
脉冲利用555设计一个多谐振荡器。
各功能模块multisim 软件中描述出,然后将其打包成可调用的元件,再利用原理图输入法将各模块按功能连接起来就得到顶层文件的原理图。
这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。
关键词:加法器;译码器;显示数码管1. 设计任务1.1 设计目的1. 了解计数器的组成及工作原理。
2. 进一步掌握计数器的设计方法和计数器相互级联的方法。
3. 进一步掌握各芯片的逻辑功能及使用方法。
vhdl语言设计一个8421bcd码的24进制计数器
专业技能训练4题目:用VHDL设计8421BCD码24进制计数器班级:电子科学与技术1201姓名:王启正学号:120803039时间:2015.5—2015.6一、技能训练项目名称运用VHDL语言进行编程设计一个8421BCD码24进制计数器二、实训目的1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
四、基本原理(附源程序清单,原理图、RTL图)1、通过VHDL语言编程方法程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY lin IS PORT(CLK :IN STD_LOGIC; --时钟EN :IN STD_LOGIC; --使能端CR :IN STD_LOGIC; --清零端,低电平有效LD :IN STD_LOGIC; --数据载入控制,低电平有效D :IN STD_LOGIC_VECTOR(5 DOWNTO 0); --载入数据端CO : OUT STD_LOGIC; --进位Q :OUT STD_LOGIC_VECTOR(5 DOWNTO 0) --计时输出);END lin ;ARCHITECTURE a OF lin IS SIGNALQN :STD_LOGIC_VECTOR(5 DOWNTO 0);BEGIN --进位控制 CO<='1' WHEN(QN=X"23" AND EN='1')ELSE'0';PROCESS(CLK,CR)BEGINIF (CR='0')THENQN<="000000";ELSEIF (CLK'EVENT AND CLK='1') THENIF (LD='0') THEN --数据加载 QN<=D;ELSIF(EN='1') THENIF (QN(3 DOWNTO 0)=3 and QN(5 DOWNTO 4)=2) or QN(3 DOWNTO 0)=9 THENQN(3 DOWNTO 0)<="0000"; --个位数进位IF QN(5 DOWNTO 4)=2 THENQN(5 DOWNTO 4)<="00"; --十位数进位ELSEQN(5 DOWNTO 4)<= QN(5 DOWNTO 4)+1;END IF;ELSEQN(3 DOWNTO 0)<= QN(3 DOWNTO 0)+1;END IF ;END IF;END IF ;END IF;END PROCESS;Q<=QN;end a;2、原理图:3、RTL图:五、仿真调试:调试过程中,输出波形为24进制波形图。
24进制计数器课程设计
24进制计数器课程设计一、课程目标知识目标:1. 学生能理解24进制计数原理,掌握24进制与十进制的转换方法;2. 学生能运用24进制进行简单数学运算,如加、减、乘、除;3. 学生了解24进制在实际应用中的优势,例如在时间计算、编码等领域。
技能目标:1. 学生能够独立完成24进制与十进制的相互转换;2. 学生能够运用24进制进行基本的数学运算,解决实际问题;3. 学生通过小组合作,设计并制作一个简单的24进制计数器。
情感态度价值观目标:1. 学生培养对进制转换的兴趣,提高学习数学的积极性;2. 学生养成合作、探究的学习习惯,增强团队协作能力;3. 学生认识到数学在生活中的广泛应用,提高数学素养。
课程性质:本课程属于数学课程,旨在让学生掌握进制转换知识,提高数学应用能力。
学生特点:六年级学生具备一定的数学基础,思维活跃,好奇心强,喜欢探究新知识。
教学要求:注重理论与实践相结合,鼓励学生动手实践,培养实际操作能力;强调小组合作,培养学生的团队协作精神。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。
二、教学内容1. 24进制计数原理介绍:通过课本知识,讲解24进制的定义,对比十进制,让学生理解进制的概念和转换方法。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第二节“认识进制”。
2. 24进制与十进制的转换:详细讲解转换方法,举例说明,让学生掌握两种进制之间的转换技巧。
- 教学安排:2课时。
3. 24进制数学运算:讲解24进制下的加、减、乘、除运算规则,让学生学会运用24进制进行计算。
- 教学安排:2课时。
4. 实际应用案例分析:分析24进制在实际生活中的应用,如时间计算、编码等,提高学生的实际应用能力。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第四节“进制在实际生活中的应用”。
5. 小组合作制作24进制计数器:分组让学生设计并制作计数器,巩固所学知识,培养学生的动手能力和团队协作精神。
24进制倒计时器
电路设计与仿真十实验目的:
1. 了解计数器的基本原理
2. 掌握集成计数器芯片74LS191工作原理及应用
实验原理:
74LS191 为可预置的四位二进制加/减法计数器,其管脚图如图所示:
RCO 进位/借位输出端
MAX /MIN 进位/借位输出端
CTEN 计数控制端
QA-QD 计数输出端
U/D 计数控制端
CLK 时钟输入端
LOAD 异步并行置入端(低电平有效)
74LS191功能表:
实验内容:
1利用同步二进制可逆计数器74LS191接成同步八进制计数器。
2. 试用二进制计数器74LS191接成24秒倒计时器
实验电路图如下:
在设计电路时,考虑到电路在计数时,要预置4和9两个数,所以要有选择判断能力,4的二进制码为0100,9的二进制码为1001,比较两数,第二位同为0,故电路中U2的B端置0,其余3为分别为010,101,恰好取反,故在信号输入C端时取反,可达到目的。
计数时,U1的输出数据端Qa,Qb,Qc全为0时,给U2置入0100(4),Qa,Qb,Qc,全为1时,给U2置入1001(9),故可以达到24倒计时功能。
eda24进制计数器设计
EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。
传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。
EDA24进制计数器是指使用24进制来表示数字的计数器。
24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。
使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。
本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。
2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。
2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。
由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。
存储器的结构可以采用RAM或者寄存器等形式。
当计数器进行更新时,计数器状态存储器会读取新的计数器状态。
2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。
在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。
例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。
以一个4位的EDA24进制计数器为例,计数范围为0000~2323。
初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。
EDA基于VHDL的24进制计数器课程设计
实训报告课程名称:EDA设计学生姓名:学号:专业班级:计算机软件2013年10 月29 日南昌大学实训报告学生姓名:学号:专业班级:设计□创新实训日期:10.9.6—10.9.14 实验成绩:实训类型:□验证□综合一、实训项目名称通过原理图方法以及Verilog HDL语言进行编程两种方法实现24进制计数器。
二、实训目的1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者Verilog HDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述。
三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
四、实训基本原理(附源程序清单,原理图、RTL图)一、通过Verilog HDL语言编程方法程序清单:module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK);output [3:0] Q;output RCO;input [3:0]D;input LOAD,ET,EP,CLR,CLK;reg [3:0]Q;wire EN;assign EN = ET&EP;always @(posedge CLK or negedge CLR) beginif(!CLR)Q = 4'b0000;else if(!LOAD)Q = D;else if(EN)beginif(Q==9)Q = 0;elseQ = Q+1;endendassign RCO = ((Q==4'b1001)&EN)?1:0; endmodulemodule XS7D(DIN,DOUT);input [3:0]DIN;output [6:0]DOUT;reg [6:0] DOUT;always @(DIN)begincase(DIN)0:DOUT = 7'b1000000;1:DOUT = 7'b1111001;2:DOUT = 7'b0100100;3:DOUT = 7'b0110000;4:DOUT = 7'b0011001;5:DOUT = 7'b0010010;6:DOUT = 7'b0000010;7:DOUT = 7'b1111000;8:DOUT = 7'b0000000;9:DOUT = 7'b0010000;endcaseendendmodulemodule COUNT24(QL,QH,CLK,RRCO);output [6:0] QL,QH;output RRCO;input CLK;wire [3:0] Q1,Q2;wire RCOL,RCOH,RRCO,LOADL,LOADH,EN,LOAD;wire [3:0]D1,D2;wire VCC,GND;assign D1 = 4'b0000,D2 = 4'b0000,VCC = 1,GND = 0;ls161u1(.Q(Q1),.RCO(RCOL),.D(D1),.ET(VCC),.EP(VCC),.LOAD(LOAD),.CLR(VCC),.CLK(CLK));ls161 u2(.Q(Q2),.RCO(RCOH),.D(D2),.ET(EN),.EP(EN),.LOAD(LOADH),.CLR(VCC),.CLK(CLK)); XS7D u8(.DIN(Q1),.DOUT(QL));XS7D u9(.DIN(Q2),.DOUT(QH));nand u3(LOADL,Q1[3],Q1[0]);nand u4(LOADH,Q2[1],Q1[0],Q1[1]);not u5(EN,LOADL);and u6(LOAD,LOADL,LOADH);not u7(RRCO,LOADH);endmodule仿真结果:二、原理图方法实现仿真结果:五、主要仪器设备、软件及耗材安装有QuartusII的电脑一台。
24进制计数(zk)
2. 触发电平:在信号变化范围外
14
屏幕提示触发信息
触发水平位置
触发 电平
触发电平 的数字值
触发信源
15
触发斜率
TRIGGER
与触发有关的操作
触发控制钮
LEVEL
1. LEVEL(电平) —— 改变触发电平值 正确操作:应使触发电平设在信号振幅范围内
18
1.
观测3个以上的波形,应该如何操作?
• 应将所有波形与频率最低的波形比较! • 建议将频率最低(周期最长)的信号始终保持在CH1中
具体操作: 选择频率最低的信号Q2 CH1显示 触发信源选择 CH1 其它信号CP、Q1分别送 CH2显示
错误的操作: ①观察CP和Q1 ②观
1
0
1
2
正确:信源=CH2
1 CP 0 Q1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
1
显示情况
2
20
3、观察计数器的波形时,触发斜率应选上升沿还 是下降沿?
加法计数器 应选下降沿触发 减法计数器 应选上升沿触发
1 CP 0 Q1 0 Q2 0 1 1 0 0 1 1 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9
2. MENU(菜单) —— 显示触发功能菜单
3. SET LEVEL TO 50%(设为50%) —— 将触发电平设在信号振幅范围的中点
16
TRIGGER
边沿触发功能菜单
触发类型 —— 一般选“边沿”触发
边沿 视频 斜率 上升
信源 CH1 触发方式 自动 耦合 直流
可选:上升沿触发、下降沿触发 内触发:CH1、CH2 外触发:EXT、EXT/5 交流线 —— 即50Hz工频信号 可选:自动、正常、单次触发 可选:直流、交流、噪音抑制、高频抑制、 低频抑制
eda24进制计数器设计
设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。
2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。
例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。
3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。
4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。
5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。
由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。
6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。
7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。
每次时钟周期到达时,计数器将更新计数值。
8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。
9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。
10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。
24进制计数器的设计实验原理
24进制计数器是一种计数器,用于在基于24进制的系统中进行计数。
以下是24进制计数器的设计实验原理的基本步骤:
确定计数器位数:确定需要的计数器位数,以决定可以表示的计数范围。
例如,如果需要计数范围为0-23,需要至少4位二进制计数器。
设计逻辑电路:使用逻辑门和触发器等基本组件,设计一个适当的电路来实现24进制计数器。
可以使用不同的设计方法,如同步计数器或异步计数器。
确定计数器状态:确定计数器的各个状态,即在每个计数值时,计数器的输出应该是什么。
在24进制计数器中,状态可以表示为从00到23的不同值。
设计计数器电路:根据计数器位数和状态确定逻辑电路的连接和触发器的触发方式,以实现从一个状态到另一个状态的转换。
确保适当的电路延迟和稳定性。
进行仿真和测试:使用电路设计软件进行仿真和测试,验证计数器的功能和正确性。
检查计数器是否按预期计数,并在达到最大计数值时正确回滚到最小计数值。
制作电路原型:将电路设计制作成电路板或使用开发板进行实际硬件实现。
确保连接正确并进行电路调试。
进行计数器实验:将实现的24进制计数器连接到适当的输入和输出设备,并进行计数器实验。
检查计数器的行为和输出是否符合预期。
这些是24进制计数器的基本设计实验原理。
具体的设计步骤和实验要求可能会根据实验的具体目标和要求有所不同。
在进行设计和实验时,确保遵循正确的电路设计原则和实验安全规范。
EDA技术实践课程设计进制计数器
东北石油大学EDA技术实践课程设计年7月25日EDA技术实践课程设计任务书课程EDA技术实践课程设计题目24进制计数器专业电气工程及其自动化姓名学号主要内容:1.熟练掌握Quartus II软件的使用。
2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。
3.学会用例化语句对EDA电路设计中顶层电路进行描述。
基本要求:1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
主要参考资料:[1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002.[2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001.[3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999.[4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002.[5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002.完成期限指导教师专业负责人年7 月18日目录1设计 (1)2方案选择与电路原理图的设计 (1)2.124进制计数器的基本原理 (1)2.2设计流程图 (1)2.3原理图 (1)374LS161元件说明 (2)3.1 简介 (2)3.274ls161管脚图与介绍 (2)3.374ls161功能表 (3)3.474ls161主要特点 (3)4设计过程 (4)4.1新文件的建立 (4)4.2宏功能模块的使用 (5)4.3普通元件的添加 (8)4.4 电路连接 (9)5功能仿真 (9)6出现的问题及调试方法 (11)7总结 (11)参考文献 (12)附录VHDL语言编写的该程序清单 (13)1 设计设计一个二十四进制计数器,计数状态从0~23,要求有译码显示。
数字电路设计--------二十四进制计数器
数字电路设计姓名: ***学号: ****************** 班级:电信 111专业:电子信息科学与技术一.设计题目二十四进制计数器的设计二.设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
三.设计任务(1)完成一个二十四进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
23显示后,又从00重新开始计数。
四.设计思路与原理(一)设计思路框图→→→→(二)LED简介LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。
其真值表如下:(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源五.电路图仿真二十四进制计数器电路仿真六.心得体会通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。
利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。
虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。
虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。
和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。
在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。
24进制计数器
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。
三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。
24进制计数器课程设计
24进制计数器设计系别:电子工程系专业:应用电子班级:学生姓名:学号:指导教师:设计流程图目录1、74LS290计数器、虚拟电子实验室相关 (3)1.1、74LS290计数器介绍 (3)1.2、M ULTISIM介绍及基本操作方法 (4)1.2.1、Multisim的主窗口界面。
(4)1.2.2、菜单栏(这里只介绍需要使用的菜单) (4)1.2.3、工具栏 (6)2、电路设计 (7)2.1计数器电路原理 (7)2.2、电路仿真效果图试 (8)3、元器件及仪器设备明细 (8)4、总结 (9)5、参考文献 (10)6、致谢 (11)前言本24进制计数器实现24进制计数及自动清零功能,它主要由脉冲、10进制加法器74LS290、共阴极LED数码管等构成。
通过找课外书,上网查找有关该设计方面的知识,自学Multisim10软件的操作,并进行防真实验,在28号到29查找资料学习计数器的知识,选出合适的芯片来设计电路,学习并使用虚拟电子实验室的基本用法。
30号以后进行电路的设计以及仿真调试。
1、74ls290计数器、虚拟电子实验室相关1.1、74ls290计数器介绍(1)74ls290的逻辑符号示意图:CP1、CP0是脉冲输入端,S9A\S9B、R0A\S0B、是置数控制端。
Q0\Q1\Q2\Q3是输出端。
(2)74ls2290的逻辑功能:74LS290是异步十进制计数器。
它由一个一位二进制计数器和一个异步五进制计数器组成。
如果计数脉冲由端CP0输入,输出由Q0端引出,即得二进制计数器;如果计数脉冲由CP1端输入,输出由Q1Q2Q3引出,即是五进制计数器;如果将Q0与CP1相连,计数脉冲由CP0输入,输出由Q0Q1Q2Q3引出,即得8421码十进制计数器。
因此,又称此电路为二-五-十进制计数器。
当复位输入R0AR0B=1,且置位输入S9AS9B=0时,74LS290的输出被直接置零;只要置位输入S9AS9B=1,则74LS290的输出将被直接置9,即Q0Q1Q2Q3=1001;只有同时满足R0AR0B=0和S9AS9B=0时,才能在计数脉冲(下降沿)作用下实现二-五-十进制加法计数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
湖南人文科技学院课程设计报告课程名称:电子技术基础课程设计设计题目:24进制数字电子钟时计器、译码显示电路系别:专业:班级:学生姓名:学号:起止日期:2009/06/01————2009/06/18指导教师:教研室主任:摘要24进制数字钟是一种用数字电路技术实现时计时的装置,与机械式时钟相比具有更高的准确性和直观性。
此次设计与制作24进制电子数字钟时计数、译码、显示电路需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种时计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。
本次设计是基于24进制电子数字钟的原理,实现具有24进制清零功能的电子钟,它主要由脉冲、10进制加法器74LS160、译码器74LS48、共阴极LED数码管等四个模块构成。
脉冲本利用555设计一个多谐振荡器,但由于制板受单面板限制,故撤销了555设计的多谐振荡器,而直接由实验室提供脉冲。
各功能模块在QuartusⅡ软件中先由VHDL语言描述出,然后将其打包成可调用的元件,再利用原理图输入法将各模块按功能连接起来就得到顶层文件的原理图。
这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。
关键词:加法器;译码器;显示数码管目录设计要求 (1)前言 (1)1.方案论证与对比 (2)1.1方案一 (2)1.2方案二 (2)1.3两种方案的对比 (3)2、各功能模块设计 (3)2.1计数器电路 (3)2.2译码驱动电路 (5)2.3共阴极七段数码管显示器 (6)3、调试与操作说明 (8)3.1电路仿真效果图 (8)3.2P ROTEL电路印刷板原理图及印刷板制版电路图 (9)3.3实际电路系统的制作及测试 (10)3.4电路板的测试情况、参数分析与实际效果 (10)4、心得与体会 (11)5、元器件及仪器设备明细 (12)6、参考文献 (13)7、致谢 (14)24进制电子数字钟时计数、译码器、显示电路设计要求时间以24秒为一个周期,具有自动清零功能。
前言24进制电子数字钟是实现具有24进制清零功能的电子钟,它主要由脉冲、10进制加法器74LS160、译码器74LS48、共阴极LED数码管等四个模块构成。
通过找课外书,上网查找有关该课题方面的知识,将课题提交给指导老师,同指导老师讨论后课题可行。
自学Multisim9和Protel软件的操作,并进行了防真实验,在11号到15到学习制作印刷板并把领来的元器件装上电路板,15号以后调试及写课程设计报告,在这过程中主要要掌握计数、译码和显示原理的学习及焊接技术。
1.方案论证与对比1.1 方案一一、如图1所示:首先由实验室提供震荡周期为一秒的标准秒脉冲,由74LS160采用同步清零法组成二十四进制时计数器,使用74LS48为驱动器,共阴极七段数码管作为显示器。
图1 方案一结构图1.2 方案二二、如图2所示:首先由实验室提供震荡周期为一秒的标准秒脉冲,由74LS160采用异步清零法组成二十四进制时计数器,使用74LS48为驱动器,共阴极七段数码管作为显示器。
图2 方案二结构图1.3 两种方案的对比相同点:两方案都正确,而且他们的基本的设计思想相同。
不同点:同步计数器中各个触发器都受同一个时钟脉冲控制,当输入计数脉冲到来时,要更新状态的触发器同时翻转。
异步计数器中各个触发器没有统一的时钟脉冲,有的触发器直接受输入计数脉冲控制,有的触发器则是把其他的触发器输出用作时钟脉冲,当输入计数脉冲到来时,要更新状态的触发器,有的先翻转,有的后翻转。
再者我们对异步清零电路更加了解。
综合以上考虑我们选择第二种方案。
2、各功能模块设计2.1 计数器电路集成计数器一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。
有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。
本设计采用具有2片十进制同步加法计数器74LS160(图2-1-1)、一片与非门74LS00(图2-1-2)和一片非门74LS04(图2-1-3)。
由外加送来的进位脉冲送入个位计数器,电路在进位脉冲的作用下按二进制自然序依次递增1,当计数到24,这显示器个位输出0011(也就是3),显示器十位输出0010(也就是2),显示器十位计数器只有QC 端有输出,显示器个位计数器只有QB端有输出,将QC、QB端接一个二输入与非门,与非门输出一路先送入十位计数器的清零端然后取反送入或非门的另一个输入端,输出接显示器个位计数器的清零端,其每10秒清零并向显示器十位计数器送进位脉冲,当十位输出为二,显示器个位输出为3时,将整个电路清零,完成24秒的显示。
其计数器的原理图(图2-1-4)。
图2-1-1 74LS160引脚图图2-1-2 74LS00引脚图图2-1-3 74LS04引脚图图2-1-4 24进制计数器原理图2.2 译码驱动电路译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
常用的7段译码驱动器属TTL 型的有74LS47、74LS48等,CMOS型的有CD4055液晶显示驱动器等。
74LS47为低电平有效,用于驱动共阳极的LED显示器,因为74LS47为集电极开路(OC)输出结构,工作时必须外接集电极电阻。
74LS48为高电平有效,用于驱动共阴极的LED显示器,其内部电路的输出级有集电极电阻,使用时可直接接显示器。
本设计选择74LS48(图2-2-1)作为译码驱动器。
图2-2-1 74LS48引脚图74LS48七段译码驱动器功能表如下(图2-2-2):图2-2-2 74LS48功能表2.3 共阴极七段数码管显示器显示器件的种类很多,在数字电路中最常见的显示器是半导体显示器(又称为发光二极管显示器,LED)和液晶显示器(LCD),本设计采用7段LED数码显示器.7段LED数码显示器俗称数码管,其工作原理是将要显示的十进制数码分成7段,每段为一个发光二极管,利用不同发光段组合来显示不同的数字. LED的死区电压较高,工作电压大约1.5~3V,驱动电流为几十毫安。
74LS48译码驱动器输出是高电平有效,所以,配接的数码管必须采用共阴极接法。
下图是共阴极式与共阳极式LED数码管的接线图(图2-3-1),使用时,公共阴极接地,7个阳极a到g由相应的BCD七段译码器来驱动图2-3-1 共阴极式与共阳极式LED数码管的接线图3、调试与操作说明3.1 电路仿真效果图U374LS161DQ A 14Q B 13Q C 12Q D11R C O15A 3B 4C 5D6E N P 7E N T10~L O A D 9~C L R1C L K2U474LS161DQ A 14Q B 13Q C 12Q D11R C O15A 3B 4C 5D6E N P 7E N T10~L O A D 9~C L R1C L K2U574LS48DA 7B 1C 2D 6O A 13O D 10O E 9O F 15O C 11O B 12O G14~L T 3~R B I 5~B I /R B O4U1A B C D E F GCKU2A B C D E F GCKU7A74LS00DU7B74LS00DVCC5VVCC5VU7C74LS00DGNDGND GNDVCC5VVCC5VV1500 Hz 5 VGNDU674LS48NA 7B 1C 2D6O A 13O D 10O E 9O F 15O C 11O B 12O G14~L T 3~R B I 5~B I /R B O4U8A74LS04DU8B74LS04DU8C74LS04D图3-1-1 24进制电子钟原理仿真图3.2 Protel 电路印刷板原理图及印刷板制版电路图图3-2-1电路印刷板原理图图3-2-2 印刷板制版电路图3.3 实际电路系统的制作及测试在Protel制版中,实验室条件不允许我们制作双面版,所以在制作单面版的时候由于芯块过多电脑无法完整布线,有许多线我们只能在焊接中飞线。
实际制作简述:画出上图的PCB图后,同过打印机打出铜膜走线图,打印图纸出来后,将图纸拿给老师看,经老师检查合格,老师发给我们布满铜膜的板和一张油纸,将油纸放入打印机重新打印出铜膜走线图,将打印好的图剪下来粘到板上,把它送到烧板机上通过加热把图纹印到板上,接下来就是把板放进氯化铁溶液进行对板的腐蚀,第一次腐蚀板时,因自动腐蚀机内温度未达到需要温度而使的对板的腐蚀失败,第二次我们注意了这个问题,待自动腐蚀机内温度达到50度后, 把板放进氯化铁溶液进行对板的腐蚀,大约50秒后,布满铜的板只剩下有图纹的地方有铜,其余的地方的铜均被腐蚀掉了,这时就可以将板取出到钻孔机上钻孔,待将所有的孔钻完后,此时一张 Protel印刷电路板就制作完成了,此时可以将元器件按照PCB板的布置装到印刷板上去了,后进行焊接和飞线,便可以完成电路板制造了。
在焊接中要注意的地方:掌握好焊接的温度和时间。
在焊接时,要有足够的热量和温度。
如温度过低,焊锡流动性差,很容易凝固,形成虚焊;如温度过高,将使焊锡流淌,焊点不易存锡,焊剂分解速度加快,使金属表面加速氧化,并导致印制电路板上的焊盘脱落。
尤其在使用天然松香作助焊剂时,锡焊温度过高,很易氧化脱皮而产生炭化,造成虚焊。
将焊接好的电路进行调试。
将电路接到+5伏电源上,观察数码管显示是否正常。
如果显示正常,计数正确,是从00计数到23说明电路原理和安装焊接正确,设计、调试成功。
如果不是上面所说情况,说明电路存在问题,需要找出问题所在,仔细检查电路是否设计正确、是否存在虚焊、是否焊接错误等,找出错误继续调试,直到调试成功为止。
3.4 电路板的测试情况、参数分析与实际效果制作完成后,连接+5V电源和地线,加入频率为1Hz的脉冲,显示电路出现故障没有反应。
故障分析:一:在电路版腐蚀的过程中,部分线路被腐蚀掉造成线路断路;二:由于要飞的线过多,在焊接过程可能造成了虚焊;三:接线和芯片的接触不良以及接线的错误所引起的故障。
4、心得与体会通过这次对数字钟的设计与制作,让我们了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念。
在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接二十四进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.通过这次对数字电子钟的设计作,让我了解了电路设计的基本步骤,也让我了解了关于数字钟的原理与设计理念,要设计一个电路先进行软件模拟仿真再进行实际的电路制作。