Xilinx以及Altera逻辑单元比较

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

VS

Xilinx Altera FPGA中的逻辑资源(Slices LE)比较

hql.bit@

经常有朋友会问我,“我这个方案是用A家的FPGA还是X家的FPGA呢?他们的容量够不够呢?

他们的容量怎么比较呢?”当然,在大部分时候,我在给客户做设计的时候,直接会用到最高容量

的产品,因为我们的产品对成本不敏感。不过,在此还是比较一下两家的产品,简单写写一些自己

的想法,供大家参考,如有不对的地方,还请指正!

要比较Xilinx和Altera的FPGA,就要清楚两个大厂FPGA的结构,由于各自设计的不同,两家

的FPGA结构各不相同,参数也各不相同,但可以统一到LUT(Look‐Up‐Table)查找表上。

下图就是A家的Cyclone II系列片子的参数:

而下图是X家的Spartan‐6 片子资料

A家的C3以下的片子一个LE都是这样的结构:

而X家的S‐6片子,一个Slice内部有4个lut,8个FF。简而言之,一个Slice=四个LE。要注意

的是A家C3以下的片子是4输入LUT而X家的是6输入LUT,差别也较大。如果不考虑FF,那么

一个X家的slice=4个A家的LE。例如XC6SLX16含有2278个slices=EP2C8(9000LE)的样子。当然,

S‐6的FF多一倍,达到了18224个。

在Virtex‐5中(我们的设计大部分是Virtex,V5\V6\V7),一个Slice包含了4个LUT和4个FF。

所以单纯从逻辑资源来看,S‐6一个Slice比V‐5的Slice强。当然V5的GTP\GTX等等还有IO数量是

S‐6赶不上的。

相关文档
最新文档