数电课设 汽车尾灯控制
数电课程设计汽车尾灯控制电路
汽车尾灯控制电路的设计一、设计基本要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1.汽车整车运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁二、设计方案:1.汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。
2.在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
方案原理框图如下图所示开关控制电路显示、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
时钟脉冲电路如下图1所示:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)三进制计数器功能表此计数器由74LS163芯片主要构成。
下面分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所示:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数字电路课程设计汽车尾灯控制电路报告.
沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数电课程设计-汽车尾灯的控制
课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 ............................. 错误!未指定书签。
1.总体设计.......................... 错误!未指定书签。
1.1主要工作安排................ 错误!未指定书签。
1.2设计任务与设计要求.......... 错误!未指定书签。
1.2.1设计任务.............. 错误!未指定书签。
1.2.2设计要求.............. 错误!未指定书签。
数字电子课设报告汽车尾灯控制电路设计
数字电子课设报告汽车尾灯控制电路设计.txt 二. 方案论证方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电路构成. 首先将脉冲信号 CLK 提供给 D 触发器逻辑电路. 用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号. 将此信号作为左转,右转的原始信号. 设置左转控制开关和右转控制开关. 通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个汽车尾灯上.这部分电路起到信号分拣的作用. 设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路. 当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号 CLK 全部闪烁的功能. 最终得到的信号即可输出到发光二极管上,实现所需功能. 方案一原理框图如图 1 所示.1CLKD图 1 方案一原理框图方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动电路构成. 由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮. 首先,设置两个可控制的开关,可产生 00,0 1,1 0,1 1 四种状态. 开关置为 0 0 状态时,表示汽车处于正常运行状态. 开关置为 0 1状态时,表示汽车处于右转弯的状态. 开关置为 1 0 状态时,表示汽车处于左转弯的状态.开关置为 1 1 状态时,表示汽车处于刹车的状态. 其次,设计电路实现所需达到功能. 三进制计数器可用两片 D 触发器构成. 译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成. 显示,驱动电路由 6 个发光二极管和 6 个反向器构成.2方案二原理框图如图 2 所示.显示,驱动电路开关控制电路译码电路三进制计数器图 2 方案二的原理框图最终方案为方案二.电路设计三. 电路设计1.时钟脉冲电路由 555 定时器构成的多谐振荡器电路如图 3 所示.12V Vs 1 28.86k R14 8 VCC RST DIS THR TRI CON GND 1 OUT 36 57.72k R27 8CP7 6 2 510nF C10nF Cf 0LM555CM Timer图 3 由 555 构成的多谐振荡器接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号. 2.开关控制电路开关控制电路如图 4 所示.3VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 CGVCC 5V U3A VCC 74LS136D J2 Key = B11 R2 200? 013 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四种状态. AB 置为 0 0 状态时,表示汽车处于正常运行状态. AB 置为 0 1 状态时,表示汽车处于右转弯的状态. AB 置为 1 0 状态时,表示汽车处于左转弯的状态. AB 置为 1 1 状态时,表示汽车处于刹车的状态. 3.三进制计数器原理图如图 5 所示.4VCC 5V2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR3 14 1Q 15U2A AVCC16 1K74LS76D 1322U2B1Q 15~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14B16 1K74LS76D图 5 三进制计数器原理框图4.译码,显示驱动电路译码,显示驱动电路如图 6 所示.VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5VA B1 2 C 3 6 G45U1A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 774LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7 13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A0 74LS138DVCC图6 译码,显示驱动电路5四,性能的测试利用 Multisim10 进行测试和仿真. 1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.图7正常行驶仿真结果2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺序循环点亮. 仿真结果如图 8 所示.6图8左转弯仿真结果3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺序循环点亮. 仿真结果如图 9 所示.7图9右转弯仿真结果4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁. 仿真结果如图10 所示.8图 10刹车仿真结果五.结论电路的主要特点是选用简单常见的元器件,充分利用所学知识. 通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.六.性价比本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求. 适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.七,课设体会及合理化建议课设体会及合理化建议这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中. 一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的学习和老师的指导,才完成了电路的设计并成功进行了仿真.9参考文献[1] 刘修文主编. 实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年10附录Ⅰ附录Ⅰ总电路图11附录Ⅱ附录Ⅱ元器件清单序号编号名称型号数量1R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻电阻20082 328.86k 57.72k1 14发光二极管LED65JK 触发器74LS7626非门74LS0477U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B74LS0089 10 11 12U16A,与非门直流电源直流电源74LS10 5V 12V DIPSW11 4 1 2J1,J2,开关13 14 15U17, U1,U3A,555 定时器 LM555CM 译码器异或门 74LS138 74LS1361 1 1121千里莺啼绿映红,水村山郭酒旗风。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数字电子课程设计报告--汽车尾灯控制电路设计
汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
数电汽车尾灯控制电路课程设计精选全文
可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
数字电子技术课程设计汽车尾灯控制电路
数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
数电课程设计_汽车尾灯的控制
课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1 所示。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5 篇近5 年参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4 纸打印,图纸应符合绘图规范。
时间安排:1)第1-2 天,查阅相关资料,学习设计原理。
2)第3-4 天,方案选择和电路设计仿真。
3)第4-5 天,电路调试和设计说明书撰写。
4)第6 天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (4)1.总体设计 (5)1.1主要工作安排 (5)1.2设计任务与设计要求 (5)1.2.1设计任务 (5)1.2.2设计要求 (5)1.3方案论述与确定 (5)2.主要芯片介绍 (6)2.1 555定时器 (6)2.2 与门74LS08 (8)2.3 异或门74LS86 (9)2.4 二—五进制计数器 (12)2.5 3线-8线译码器74LS138 (12)2.6 四位二进制可预置的同步加法计数器74LS163 (14)3 电路设计 (15)3.1 555时钟脉冲电路模块 (15)3.2 三进制循环控制电路模块 (17)3.3 译码显示电路模块 (18)3.4 总体电路 (18)4.仿真 (19)4.1 仿真软件multisim介绍 (19)4.2 电路仿真 (20)5. 装配与调试 (25)5.1 装配与调试 (25)5.2 实物功能测试 (25)6.总结 (26)摘要本次设计的汽车尾灯控制电路是用数字电路实现的。
数字电路课程设计汽车尾灯控制电路设计
汽车尾灯控制电路的设计目录1. 设计任务和设计要求 (1)1.1 设计任务 (1)1.2 设计要求 (1)2. 设计原理与总体框图 (1)3. 单元电路设计 (2)3.1 三进制计数器 (2)3.2 汽车尾灯控电路 (3)3.3 开关控制电路 (4)3.4 时钟产生电路 (5)4. 汽车尾灯总体电路 (5)5. 试验方案及体会 (7)6. ......................................................................... 器件清单77. ......................................................................... 参考文献111. 设计任务和设计要求1.1 设计任务设计一个汽车尾灯控制电路。
汽车尾部左右两侧各有 3 个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
1.2 设计要求设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。
要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车左转弯时,右侧 3 个指示灯按左循环点亮。
(3)当汽车右转弯时,左侧 3 个指示灯按右循环点亮。
(4)临时刹车时,所有指示灯同时闪烁。
(5)选择电路方案,完成对确定方案的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
表 1.1 尾灯和汽车运行状态关系表2. 设计原理与总体框图根据设计的基本要求,汽车左或右转弯时, 三个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(SI 、S0 、CP 、Q1 、Q0 )的关系, 即逻辑功能表如表 2.1 所示(表中0表示灯灭状态,1 表示灯亮状表2.1. 汽车尾灯控制逻辑功能表开关控制三进制计数器六个指示灯S1 S0Q1Q0D6D5D4D1D2D30 0××000000 000001000 10100001010000001000010001 001010000101000001 1××CP CP CP CP CP CP根据表 1.1 可以得出原理框图,如图 2.1所示图 2.1 汽车尾灯控制电路原理图3. 单元电路设计3.1 三进制计数器三进制计数器可由双JK 触发器74LS76构成,其连接电路如图 3.1 所示图 3.1 三进制计数器电路3.2 汽车尾灯控电路汽车尾灯尾灯电路如图 3.2 示,其显示驱动电路由 6 个发光二极管和 6 个反相器构成;译码电路由3-8 线译码器74LS138和 6 个与非门构成。
数电课程设计题目
1001.1002班数电课程设计题目:要求: 1.学生分组, 每组限6人, 特殊情况需说明2.查阅资料, 器件选型, 提供元器件清单, 本周五(11月9日)前需完成3、后续待课程设计时候再做具体要求!一、汽车尾灯控制电路1.设计任务设计一个汽车尾灯控制电路, 要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟), 当在汽车正常运行时指示灯全灭;在右转弯时, 右侧3个指示灯按右循环顺序点亮;在左转弯时, 左侧3个指示灯按左循环顺序点亮;在临时刹车时, 所有指示灯同时点亮。
2.参考设计方案分析以上设计任务, 由于汽车左转弯或右转弯时, 3个指示灯循环点亮, 所以用三进制计数器控制译码器电路顺序输出低电平, 从而控制尾灯按要求点亮。
由此得出在每种运行状态下, 各指示灯与给定条件间的关系, 即逻辑功能表1-1所示。
汽车尾灯控制电路设计总体框图如图1-1所示。
汽车尾灯和汽车运行状态表1-1开关控制汽车运行状态右转尾灯左转尾灯S1 S2D1D2D3D4D5D60 0 正常运行灯灭灯灭0 1 右转弯按D1D2D3顺序循环点亮灯灭1 0 左转弯灯灭按D4D5D6顺序循环点亮1 1 临时刹车所有尾灯同时点亮图1-1汽车尾灯控制电路设计总体框图二、自动循环计数器1.设计任务①用集成计数器实行3~9自动循环计数。
②电路能实现3~9加法和3~9减法循环计数。
③输出用数码显示。
2.参考设计方案分析设计任务, 该系统由加减控制模块、可逆计数器、译码显示模块构成。
完成由加法计数自动转向减法计数。
可逆计数器实现加法、减法计数功能。
加减控制模块可由门电路组成的基本RS触发器和其它控制门电路构成。
译码显示模块完成该结果的数字显示。
自动循环计数器设计框图如图2-1所示。
图2-1 自动循环计数器设计框图三、篮球竞赛30秒计时器1.设计任务①30秒计时器具有显示30秒的计时功能。
②系统设置外部操作开关, 控制计时器的直接清零、启动和暂停/连续功能。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
数电课程设计汽车尾灯
一.设计总体思路在汽车尾灯设计中采用了一片四位双向移位存放器74LS194,用六个发光二极管代替汽车尾灯,通过控制电路控制发光二极管的灯亮以及灯灭的情况及走向来实现设计要求。
循环图:正常行驶向右转弯:向左转弯:刹车时:总电路图:道理框图:二.单位电路设计〔一〕循环电路汽车在右转弯时尾灯循环亮灭情况如下:道理说明:汽车右转弯时,在右转弯开关控制下,汽车尾灯按周期性亮灭,这是用一片74LS194移位存放器构成的循环移动电路实现的,即Q A Q B Q C按100→110→111→000循环移动,1代表发光二极管点亮,0代表发光二极管熄灭。
具体电路如下:(二)控制电路控制电路是由一系列的逻辑门来实现的。
摆布转弯的控制是通过与非门、与门和循环电路的与非门连接来实现的,刹车的控制是通过脉冲信号、与非门的连接来实现的。
具体电路如下:(三)指示电路用发光二极管来代替汽车尾灯,通过200Ω电阻连接到VCC。
当输入端位高电平时,灯灭;当输入端为低电平时,灯亮。
LED1 LED2 LED3 LED4 LED5 LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5V三.仿真电路及波形〔一〕循环仿真(二)摆布转弯仿真U1A 74LS00DU2A 74LS00D U3A74LS00D U4A 74LS00D U5A74LS00D U6A 74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA 3B 4C 5D 6SL 7QA 15QB 14QC 13QD12SR 2~CLR 1S09S110CLK11U8A 74LS09DU9A 74LS09DU10A 74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A 74LS00D U14A 74LS00DU11A 74LS04DU12A 74LS04DU15A 74LS09DVCC5VR71kΩR81kΩR91kΩVCC 5VXFG1XSC1XSC2(三)刹车仿真U1A 74LS00DU2A 74LS00D U3A74LS00D U4A 74LS00D U5A74LS00D U6A 74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA 3B 4C 5D 6SL 7QA 15QB 14QC 13QD12SR 2~CLR 1S09S110CLK11U8A 74LS09DU9A 74LS09DU10A 74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A 74LS00D U14A 74LS00DU11A 74LS04DU12A 74LS04DU15A 74LS09DVCC5VR71kΩR81kΩR91kΩVCC 5VXFG1XSC1XSC2四.安装、调试的步调(一)检测电路板的好坏在接线前先检测的导通情况:在电路板的正负极各接一根导线到电源的正负极,接着从电路板的正负极的横排每个接线孔一次接一根导线到任意一个发光二极管,不雅察其发光情况,正向导通的二极管在接到正极时应发光,反响导通的二极管在接到负极时应导通,这两种情况说明电路板是好的。
数电课程设计(汽车尾灯控制电路)
数字电子课程设计汽车尾灯控制电路设计院系:专业:姓名:班级:学号:时间:指导老师:目录1 设计内容及要求2 电路的工作原理3 系统方案4 系统框图5 单元电路的具体设计6 总体电路图7 测试单元电路8 调试过程中遇到的问题及解决方法9 设计体会心得10 参考文献设计前言本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
电路设计预计所需元件:74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干一、设计内容及要求本课题设计一个汽车尾灯的控制电路。
该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。
当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
当接通刹车电键时,汽车所有的尾灯同时闪烁。
当接通检查电键时,汽车所有的尾灯点亮。
二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。
3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
三、系统方案通过D触发器产生001、010、100的三种状态信号。
这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。
四、系统框图(图1:系统总体流程框图)五、单元电路的具体设计:1.由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器时输出频率为:f=1.43/(R1+R2)/C,这里选择R1=43K 欧姆,R2=50K 欧姆,C=10u 法拉,则输出信号为1赫兹(周期为1秒)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计成绩评定表目录引言 (4)1.方案设计 (4)1.1设计目的 (4)1.2设计思路 (4)1.3设计原理及框图 (5)2.单元电路设计 (6)2.1开关控制译码电路 (6)2.2 脉冲产生电路 (8)2.3 计数电路 (8)2.4 驱动显示电路 (10)3.电路的总体设计与调试 (12)3.1总体设计 (12)3.2安装与调试 (14)4.电路仿真 (15)5 .设计总结 (17)参考文献 (18)附录1 整机电路图 (19)附录2 元件明细表 (20)引言随着当今社会的发展汽车的应用越来越多,已成为人们生活中必不可少的交通工具,所以其安全问题也受到极大的关注,其中汽车尾灯扮演了重要的角色,是汽车安全必不可少的重要环节。
它的存在极大的减少了交通事故的发生。
汽车尾灯的实际价值不可估量,而如何设计实现汽车尾灯的各种功能,则是汽车尾灯控制电路的设计目的,现在汽车尾灯的设计也是花样百出,不仅是外观上的多变,而且功能上也是有了很大的提高。
实现汽车尾灯控制电路的功能,可以采取很多不同的方案,可以运用单片机、模拟电子技术、数字电子技术、分离元件实现。
本次设计采用的是数字电子技术实现。
汽车灯具主要的功能有两点:一是照明功能,即照亮道路,交通标志,行人,其他车辆等,以识别标志和障碍物;二是信号功能,即显示车辆的存在和传达车辆行驶状态的信号。
汽车照明和信号装置是汽车重要的安全部件。
在各种汽车信号灯中,尾灯的作用尤为重要,与行车安全有着十分密切的关系。
1方案设计1.1设计目的进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。
针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。
1.2设计思路汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
(1)汽车正常运行时指示灯全灭(2)汽车右转弯时,右侧3个灯按右循环顺序点亮(3)汽车左转弯时,左侧3个灯按左循环顺序点亮(4)汽车临时刹车时所有指示灯同时闪烁1.3设计原理及框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
根据设计要求列出本次的设计框图如图1-1所示。
图1-1 汽车尾灯控制电路整体框图通过三线-八线译码器(74HC138)对开关控制电路(K1、K2、K3)给出的信号(即汽车运行的不同状态)译码,转向的控制用译码后的相应的高低电平控制对应十六进制计数器(74LS161)的使能端,控制其工作与否,然后由555定时器构成的多谐振荡器作为脉冲产生电路产生一定频率的脉冲信号作为计数器(74LS161)的触发信号,使计数器循环计数,从而由计数器的输出端根据需要通过与、或门后直接驱动相应转向的各尾灯循环点亮;刹车的控制由编码后的相应电平和脉冲信号通过与门后直接驱动所有车灯闪亮;汽车正常运行时各灯不接受控制信号即全灭。
即完全实现了本次设计的所有要求。
2单元电路设计2.1开关控制译码电路开关控制电路作用是给译码电路提供输入信号,该电路的设计采用三个开关K1、K2、K3的断开与闭合进行译码输入信号的控制。
通过三个开关的闭合与否,形成不同的电平组合送至74HC138译码器。
74HC138译码器示意图如图2-1所示,功能表为表2-1所示。
图2-1 74HC138译码器示意图表2-1 74HC138功能表O X 1 1 1 1 1 1 1 1X1X X XX X X0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 11 1 1 1 1 1 1 11 1 1 1 1 1 1 10 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0由表2-1可知,当S1=0或S2+S3=1时,译码器禁止译码,输出状态均为1,与输入代码A3、A2、A1的取值无关。
当S1=1且S2+S3=0时,译码器才进行译码,译码器输出低电平有效。
译码器输出由输入代码A3、A2、A1决定,对于任一组输入二进制代码,输出中只有一个与该代码相对应的输出为0,其余输出均为1。
开关控制译码电路如图2-2所示。
图2-2K1、K2、K3一端分别连接直流电源Vcc,另一端分别连接74HC138译码器的三个输入端。
K1、K2、K3分别闭合表示汽车左转、右转、零时刹车三种状态。
当开关闭合时Vcc电源端即给74HC138译码器输入端高电平,可以作为译码器的输入信号。
当输入端有不同的电平组合时,译码器的输出端输出不同组合的高低电平。
译码电路用来实现开关控制电路信号的译码,将原始的汽车运行信号转变为独立的高低电平信号,译码器采用了74HC138三线八线译码器,此译码器有三个使能控制端S1,S2,S3要使译码器正常工作应使S1 =1,S2 =S3 =0,A1,A2,A3 为译码器的三个输入端接受开关控制电路给出的信号,Y0~Y7为译码器的8个输出端,输出高、低电平用来对计数器和驱动电路控制。
2.2 脉冲产生电路脉冲电路是由555定时器构成的典型多谐振荡器,此处脉冲产生器主要用来提供计数器的计数脉冲和为刹车时闪烁提供脉冲。
555定时器的1~8端口分别为1-接地端,2-触发端,3-脉冲输出端,4-异步置0端,5-输入控制端,6-阈值电压输入端,7-放电输出端,8-电源输入端。
用555定时器构成的脉冲电路如图2-3所示。
图2-3图中电容C1、C2,电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。
定时器的触发输入端(2脚)和阀值输入端(6脚)与电容相连;集电极开路输出端(7脚)接R1、R2相连处,用以控制电容的充、放电;外界控制输入端(5脚)通过0.01uF电容接地。
2.3计数电路本设计中计数电路采用十六进制加法计数器74LS161,此部分主要用于在译码器发出转向信号后通计数器的循环输出信号对尾灯进行左或右循环点亮控制。
74LS161芯片的结构示意图如图2-4所示。
功能表为表2-2所示。
图2-474LS161的功能端主要有CLK-计数脉冲端,EP,ET-工作状态控制端,L D -异步置0端,L D -预置数控制端,D 1~D 3预置数输入端,Q 0~Q 3信号输出端,C 为进位输出端。
表2-2 十位计数器74LS161的功能表由表可知,74LS161具有以下功能:(1) 异步清零。
当R D =0,不管其它输入端的状态如何,不论有无时钟脉冲CP,计数器输出将被直接置零(Q 3、Q 2、Q 1、Q 0=0000),称为异步置零。
(2) 同步并行预置数。
当R D =1、L D =0时,在输入脉冲CP 上升沿的作用下,并行输入端的数据将被置入计数器的输出端。
由于这个操作要与CP 上升沿同步,所以称为同步预置数。
(3) 保持。
当R D =L D =1,且EP.ET=0,则计数器保持原状态不变。
这时,如EP=0、ET=1,则进位信号C(C= Q 3Q 2Q 1Q 0ET)保持不变;如ET=0,则不管EP 状态如何,进位信号C=0。
(4) 计数。
当R D =L D =EP=ET=1时,在CP 端输入计数脉冲作用下,计数器进行二进制加法CLK R 'D L DEP ET 工作状态 × ↑ × × ↑ 0 1 1 1 1× 0 1 1 1× × × × 0 1 × 0 1 1置零 预置数 保持 保持(但C=0)计数计数。
利用74LS161芯片设计的计数电路如图2-5所示。
图2-574HC138译码器输出信号通过TTL反相器再经过与门的输出信号即作为计数器的计数信号,当输出为高电平时计数器开始计数,由555定时器提供时钟脉冲。
当R D= L D =EP=ET=1计数器开始进行十六进制计数,输出信号送至驱动显示电路;否则计数器保持原状态。
将十六个计数过程分为四个数一组的四组,即可分别对应左转或右转时要求的循环点亮的四种状态,即计数的十进制数0~3对应灯全灭;4~7对应L1(或D1)亮;8~11对应L1和L2(或D1和D2)亮;12~15对应L1和L2和L3(或D1和D2和D3)亮。
将十六位计数器74LS161循环变化的十六个数分为四个一组使每组对应持续控制灯亮的一种状态即可在计数循环的同时实现灯的循环点亮,可以由门电路驱动实现。
2.4驱动显示电路驱动显示电路主要运用门电路与计数器的输出信号共同控制对应尾灯的熄灭与点亮。
显示电路是用六个发光二极管构成,由前级计数电路和门驱动电路共同决定发光二极管的亮灭。
驱动显示电路如图2-6所示。
图2-6六只发光二极管采用共阴接法,以计数器和门驱动电路为信号,实现发光二极管的亮灭和发光二极管交替闪烁的频率,从而完成不同的闪烁方式。
由计数器输出的信号和门电路的输出的信号共同控制发光二极管的闪烁方式。
由图2-6可知。
六个发光二极管导通与否,受到与门和或门的输出控制。
而与门和或门的输出由与计数器有密切的关系。
当K1、K2、K3 状态分别为100时,74LS138译码器1脚为高电平2脚和4四脚为低电平。
1脚低电平通过TTL反相器X3变为高电平送至与门X1、X2、X3的输入端,而译码器三个输出状态通过或门作为计数器74LS161的计数信号,当计数器输出Q3Q2Q1=111时,Q3信号直接送至X2的另一端,Q2、Q1信号通过与门X5和或门J2送至X3和X1,从而点亮L1 、L2、L3实现了汽车左转的信号要求。
汽车右转和零时刹车的信号,也是分别通过计数器和门电路的共同控制,实现发光二极管的点亮。
3电路总体设计与调试3.1总体设计综合以上各单元电路的设计,根据设计要求添加其他原件即可得出整体设计电路如图3-1所示。
图3-1此电路对设计要求功能的实现如下:表3-1 汽车尾灯和汽车运行状态表开关控制汽车运行状态右转尾灯左转尾灯整体设计只是在各部分的基础上,为了保证各部分之间在执行各自功能的时候不会发生冲突添加了一些隔离措施,和完善设施。