电子技术基础实验报告二

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

贵州大学实验报告

学院:专业:班级:姓名学号实验组

实验时间2012.4.17 指导教师成绩

实验项目名称数据选择器及图形设计的方法

实验目的1、学习数据选择器的设计。

2、进一步了解、熟悉和掌握FPGA开发软件QUARTUSⅡ的使用方法。

3、学会使用Vector Wave波形仿真和分析。

4、学会使用QUARTUSⅡ的图形设计。

实验原理数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器,它的作用相当于多个输入的单刀多掷开关。

输入输出

使能A2 A1 A0 Y

1

X X X

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

D0

D1

D2

D3

D4

D5

D6

D7

需要有一组8bit的可变输入作为输入数据和3bit控制数据选择位以及1bit的使能位。

软件:Altera QUARTUSⅡ9.0集成开发环境

实验步骤及内容1、新建工程,取名为mux8_1

2、新建设计文件,选择File|New,在New对话框中选择Device Desgin Files下的Verilog File,单击OK,

完成新建设计文件。

3、输入源文件,程序如下:

module mux8_1(DOUT,A,D0,D1,D2,D3,D4,D5,D6,D7,CS);

input [2:0] A;//定义输入信号

wire [2:0] A;//定义内部结点信号数据类型

input D0;

input D1;

input D2;

input D3;

input D4;

input D5;

input D6;

input D7;

input CS;

wire CS;

output DOUT;//定义输出信号

reg DOUT;

always @(CS or D0 or D1 or D2 or D3 or D4 or D5 or D6 or D7) //过程块结构,以下是逻辑功能描述部分

begin

if (CS==1)

DOUT<=0;

else

case(A) //输入,输出对应的情况,即为行为描述语句

3'b000 : DOUT = D0;

3'b001 : DOUT = D1;

3'b010 : DOUT = D2;

3'b011 : DOUT = D3;

3'b100 : DOUT = D4;

3'b101 : DOUT = D5;

3'b110 : DOUT = D6;

3'b111 : DOUT = D7;

default : DOUT = 1;

endcase

end

endmodule//结尾语句

4、为设计源码生成图形设计文件,在Quartus11中点击File菜单,在Create/Update中点击Create Symbol

file for Current file,这时Quartus11会检查verilog源码是否有错误,没有错误的话就会为这个设计源码生成一个带外围接口的图形。

5、在Quartus11新建图形设计文件,点击File菜单下的New,选择Block Diagram/Schematic File,点击

OK。

在新建的图形设计文件中看到有很多小点,在随意的一个地方双击鼠标左键,会弹出,打开Project会出现一个Mux8_1,在右侧栏同时会显示它的顶层图形,这个图形就是在第4步,Quartus11为源码生成的图形文件,点击OK,用鼠标把图形符号拖动到刚才新建的带小点的文件中,从这个图形符号可以看出,VHDL源码中port部分全部显示出来,左边的是输入接口,右侧的是输出接口。现在需要做的是把这些输入和输出接口与FPGA片外的管脚连接在一起,首先要把这些输入输出接口从FPGA片内引出来。还是在空白处双击鼠标左键,弹出界面,在Name框里输入input,会出现input引脚的界面,点击OK把input引脚添加到图形设计中,采用同样方法添加其它9个input和output引脚。连接mux8_1的外围接口和input引脚。按住鼠标左键,从input引脚的末端拖动出一条线直到mux8_1对应的信号,每个引脚都是同样操作,完成后,其中A【2:0】用粗线说明它是2bit以上的信号,然后双击input引脚修改名称。

仿真波形:

顶层模块图

以上是波形仿真,可以看出,开始输入为D2,D5,D7.当使能CS为1时,不管其它几个的输入为多少,DOUT 都为0,当CS为0时,就是数据选择,在20.0ns后,选择为D3和D1,由于两个都没有信号输入,

故20.0ns到30.0ns时候 DOUT都为0,在30.0ns之后,当输入为0101时,选择为D5,和D5信号一致,同理可得到以后的仿真波形,与理论值相同。

实验总结数据选择器的作用相当于单刀多掷开关,输出结果只能输出一个的输入波形。使能位的作用很重要,控制输出结果是否选择输入波形。实验的过程中,需要.v文件、.vwf文件、.cvwf文件、.bdf文件,其中.cvwf 文件要由.vwf文件得到,所以在实验的过程中,注意要有顺序的操作,要不然就会出现错误,还有在每一步操作中要有保存的操作,否则在后面的操作中不能找到上一步的文件,下一步也不能生成。

签名:年月日

相关文档
最新文档