debussy仿真调试心得
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
debussy仿真和调试心得
说明:quartus、modelsim和debussy都安装在d盘,新建工程文件夹test,位置在f:\test,下文以此例子说明,注意下面是以verilog语言为例。
1.根据需求和设计方案编写verilog代码,代码编写需要符合规范;
2.新建工程文件夹test,文件夹中包含所有verilog代码,包括库文件(从D:\altera\80\quartus\eda\sim_lib
获取)等等;
3.文件夹中同时把novas.dll文件(从debussy文件夹中找)复制过去,debussy仿真用;
4.编写run.f和test.tcl文件,并放在test目录下,文件内容如下:
//run.f,新建文件夹名称为test//
-incr
-v cycloneiii_atoms.v
-v altera_mf.v
-v 220model.v
-v altera_primitives.v
../test/ram32_256.v
../test/fifo32_256.v
../test/test.v
//test.tcl,新建文件夹名称为test//
vlog -f run.f
vsim -pli novas.dll work.test -l run_modelsim.log -do
run -all
5.在test测试文件中添加代码生成波形文件供debussy调试用,VHDL的大家自己上网找,呵呵:initial begin
$fsdbAutoSwitchDumpfile(200,"tb.fsdb",200);
$fsdbDumpvars;
end
6.打开modelsim,cd至工程文件夹(例如cd至F:\test),然后vlib work,然后do test.tcl即开始仿真;
7.打开debussy载入run.f后,再打开波形工具载入波形文件(这个例子生成tb_000.fsdb,在test目录下)
即可以开始观察波形,用debussy观察波形和调试还是非常有效的;
8.DOS下的仿真,在系统环境变量里面添加的内容,
9.变量名LM_LICENSE_FILE
变量值D:\Modeltech_6.1f\win32\license.dat
变量名path
变量值D:\Modeltech_6.1f\win32;D:\Novas\Debussy\bin
这样在DOS(cmd)下就可以用命令行进行仿
真了(不用打开modelsim的图形界面),具体
的命令行还需要自己不断学习。