数字计时器设计-数字电路实验

合集下载

60秒计时器的数字电路设计

60秒计时器的数字电路设计

60秒计时器的数字电路设计
要设计一个60秒计时器的数字电路,可以使用数频分频器和
计数器来实现。

以下是一种可能的设计方案:
1. 使用一个数频分频器将输入的时钟信号分频为1Hz的信号。

数频分频器可以采用分频比为60的模块,将输入的时钟信号
分频为1Hz信号。

2. 使用一个4位计数器作为分钟计数器,用于计算经过的分钟数。

该计数器从0开始计数,当达到60时,将清零并输出溢
出信号。

3. 使用一个6位计数器作为秒计数器,用于计算经过的秒数。

该计数器从0开始计数,每次计数加1,当达到60时,将清
零并触发分钟计数器加1的操作。

4. 将计数器的输出和显示器连接,以显示当前的分钟和秒数。

通过以上设计,当输入的时钟信号为1Hz时,60秒计时器将
能够准确计时,并在经过60秒后清零重新开始计数。

实验报告

实验报告

多功能数字计时器的设计姓名:鲍辰学号:060601102院系:紫金学院计算机系指导教师:王玉珏实验时间:2008.12.22~~2008.12.26目录一.设计电路功能要求----------------------------1二.电路设计原理框图----------------------------1三.电路逻辑原理图及工作原理--------------------2四.各单元电路原理及逻辑分析与设计--------------2五.电路安装与调试说明--------------------------7六.对电路的改进意见----------------------------7七.收获体会及建议------------------------------7八.设计参考资料 -------------------------------7一、设计电路功能要求1.设计一个脉冲发生电路*为计时器提供秒脉冲*为报时电路提供驱动蜂鸣器的脉冲信号2.设计计时电路*完成0分00秒——9分59秒的计时功能3.设计报时电路*使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音:即9分53秒、9分55秒、9分57秒发低(频率1KH),9分59秒发高音(频率2KH)4.设计校分电路*在任何时候,拨动校分开关,可进行快速校分5.设计清零电路*开机自动清零*在任何时候,按动清零开关,可以进行计时器清零二、电路设计原理框图图2.0三、逻辑原理图图3.0四、各单元电路原理及逻辑分析与设计4.1秒脉冲发生电路4.1.1功能描述:为整个系统提供脉冲信号。

4.1.2工作原理:由于晶体振荡器的突出优点是有极高的频率稳定度,多用于要求高精度时基的数字系统中,所以脉冲电路由32768Hz晶体片构成的振荡器产生信号,经过14位二进制串行计数/分频器CD4060分频,由管脚3产生2Hz信号分别给校分电路,计时电路和起停电路(给计时电路的信号是经过双上升沿D型触发器74LS74进行二分频后产生的1Hz信号)4.1.3所用器件:32768Hz晶体管、22MΩ电阻、20PF电容、10PF电容、CD4060、74LS744.1.4电路原理图:RILOG图4.14.2计时电路4.2.1功能描述:实现计时、译码、显示及秒个位到秒十位的进位功能。

数字电路数字时钟课程实验报告

数字电路数字时钟课程实验报告

数字时钟设计实验报告一、设计要求:设计一个24小时制的数字时钟。

要求:计时、显示精度到秒;有校时功能。

采用中小规模集成电路设计。

发挥:增加闹钟功能。

二、设计方案:由秒时钟信号发生器、计时电路和校时电路构成电路。

秒时钟信号发生器可由振荡器和分频器构成。

计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。

校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。

三、电路框图:图一数字时钟电路框图四、电路原理图:(一)秒脉冲信号发生器秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。

由振荡器与分频器组合产生秒脉冲信号。

振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。

分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。

其电路图如下:译码器译码器译码器时计数器分计数器秒计数器校时电路秒信号发生器图二秒脉冲信号发生器(二)秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

60进制——秒计数器秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

当计数到59时清零并重新开始计数。

秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。

个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。

利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。

其电路图如下:图三 60进制--秒计数电路60进制——分计数电路分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。

计时器电路仿真实验报告

计时器电路仿真实验报告

计时器电路仿真实验报告篇一:多功能数字时钟实验报告重庆交通大学项目名称:专业班级:学生姓名:小组成员:开放性实验报告(A类)多功能数字钟电路设计电子2班何昕泽目录多功能数字时钟设计 ................................................ . (3)摘要 ................................................ .. (3)1.系统原理框图 ................................................ . (4)2.单元电路设计与仿真 ................................................ . (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 ................................................ .. 62.3 十二与二十四小时的切换 (8)2.4校时电路 ................................................ .. (8)2.5报时电路 ................................................ .. (9)2.6电路总图 ................................................ .. (9)3.PCB板的制作 ................................................ (10)3.1 原理图的绘制 ................................................ (10)3.2 PCB的制作 ................................................ .. (11)3.3 PCB 图 ................................................ (12)4.心得与体会 ................................................ .. (12)附录原件清单 ................................................ .............. 13 附件 1 仿真电路图 .......................................... 错误!未定义书签。

数字电子技术课程设计篮球比赛24秒倒计时器设计

数字电子技术课程设计篮球比赛24秒倒计时器设计

数字电子技术课程设计篮球比赛24秒倒计时器设计1.2电路设计方案:24秒倒计时的总体方案框图如图所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。

其中计数器和控制电路使系统的主要模块。

计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动技术、暂停和连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。

译码显示电路由自带译码器的数码管组成。

报警电路在试验中可用发光二极管和蜂鸣器代替。

主体电路:24秒倒计时。

24秒计数芯片的置数端清零端共有一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器倒数计时开始进行倒计时,逐秒倒计时到零。

选取00这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。

2.各芯片的用法与功能2.174LS19274LS192是十进制计数器,具有异步清零和异步置数功能,且有进位和借位输出端。

当需要进行多级扩展连接时,只要将前级接到下一级的CP端,端接到下一级的CP端即可。

74LS192功能表: 2.2555定时器555定时器应用为多谐振荡电路时,当电源接通Vcc通过电阻R1,R2向电容C充电,其上电压按指数规律上升,当u上升至2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出电压变为高电平,C放电终止,Vcc通过R1,R2又开始充电;周而复始,形成振荡。

则其振荡周期与充放电时间有关,也就是与外接元件有关,不受电源电压变化影响。

输出波形的振荡周期可用过度过程公式计算: 3.各部分电路设计3.1信号发生部分:秒脉冲的产生由555定时器组成的多谐振荡电路完成。

数字显示30秒倒计时器课程设计报告

数字显示30秒倒计时器课程设计报告

电子技术课程设计报告设计题目:数字显示30秒倒计时器电路设计1、课程设计目的、意义设计目的:(1)依照原理图分析各单元电路的功能;(2)熟悉电路中所用到的1各集成块的1管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。

设计意义:数字显示30秒倒计时器是一个简单的数字电路,但是它能够扩展到很多实际应用当中来,比如篮球倒计时器、交通灯倒计时器等等。

2、设计题方案比较、论证设计方案:分析设计任务,计数器和操纵电路是系统的要紧部份。

计数器完成30秒计时功能,而操纵电路具有直接操纵计数器的启动设计、译码显示电路的显示和灭灯功能。

当启动开关闭合时,操纵电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“30”字样;当启动开关断开时,计数器开始计数;处于维持状态。

系统设计框图如图2-1所示。

数字显示30秒倒计时器实验电路如图2-2所示。

图2-1图2-23、各单元电路设计,元器件参数计算、选择、电路图绘制,整体电路图递减计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简单,74LS192是十进制可编程同步加锁计数器,它采纳8421码二-十进制编码,而且有直接清零、置数、加锁计数功能。

图2-3是74LS192外引脚。

图中CPU 、CPD别离加计数、减计数的时钟脉冲输入端(上升沿有效)。

LD是异步并行置数操纵端(低电平有效),CO、BO别离是进位、借位输出端(低电平有效),CR是异步清零端,D3-D是并行数据输入端,Q3-Q是输出端。

74LS192的功能表见下表所示。

秒信号产生器秒信号产生器的电路是利用 555 按时器(图3-1)组成的秒信号发生器。

NE555 芯片有单稳态电路功能,可发生方波信号,可适当的选择电阻、电容,使其输出信号的周期为 1 秒。

本电路输出脉冲的周期为:T=*(R1+2*R2)*C,假设 T=1s,令 C=10μF,R1=39kΩ,那么R2=51k Ω。

电子电工实验报告——数字计时器

电子电工实验报告——数字计时器

南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。

② 了解各单元再次组合新单元的方法。

2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。

3.实验内容① 设计实现信号源的单元电路。

(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。

③ 设计实现快速校分单元电路。

含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。

④ 加入任意时刻复位单元电路(开关K2)。

⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。

二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。

电阻:1KΩ一只,3KΩ一只,150Ω四只。

电容:0.047uF 一只。

LED共阴双字屏二块。

1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。

图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。

数字电路技术基础-倒计时器实验

数字电路技术基础-倒计时器实验

倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。

通过key1控制切换计数与暂停状态。

当key1按下时,开始倒计时,再次按下,暂停计时。

通过key2控制置初值。

暂停状态下,当key2按下时,回到初始状态,数码管显示2500。

设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。

提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。

使用课前预习的状态机模块,控制25分钟倒计时模块。

调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。

1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。

数字计时电路设计

数字计时电路设计

数字计时电路设计摘要:数字计时电路的应用非常广泛,相比于传统的计时电路,它不仅走时准确,显示直观,而且不需要机械传动和频繁的调整。

鉴于它的广泛应用和诸多优点,本人采用了74LS系列中小规模集成芯片和RS触发器的校时电路设计了一个数字计时电路,它以12小时为周期,可以显示小时,分钟和秒,并具有小时,分钟校对功能。

本数字计时电路系统的整体设计是由振荡器,分频器,计数器,显示器和校时电路组成的,通过本论文设计,希望使其方法更系统,电路更简单。

关键词:数字计时电路;计数器;逻辑设计目录引言 (1)1 设计内容、方案及要求 (1)1.1 设计内容 (1)1.2 设计方案 (1)1.3 设计要求 (2)2 主体电路各单元的工作原理与设计 (2)2.1 振荡器 (2)2.1.1 振荡器的工作原理 (2)2.1.2 振荡器的设计 (3)2.2 分频器 (3)2.2.1 分频器的工作原理 (3)2.2.2 分频器的设计 (4)2.3 计数器 (4)2.3.1 60进制计数器工作原理 (4)2.3.2 12进制计数器工作原理 (4)2.4 译码与显示电路 (6)2.4.1 显示器的工作原理 (6)2.4.2 译码器的工作原理 (7)2.4.3 译码与显示电路的设计 (7)2.5 校时电路 (7)2.5.1 校时电路的工作原理 (7)2.5.2 校时电路的设计 (8)3 设计并绘制整机图 (9)总结 (10)致谢 (11)参考文献 (11)引言从上个世纪末到现在,电子产品已经悄无声息地渗透到社会的各行各业。

随着社会的快速发展,我们的生活节奏越来越快,电子产品的性能也越来越好、更新速度也越来越快,对社会生产力的提高、现代化和信息化社会的建立提供了持续的动力。

一寸光阴一寸金,时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。

如果遇到重要事情,一时的耽误甚至会酿成大祸。

而数字计时器的出现则减少了这些灾难的出现,并给人们生产生活带来了极大的方便。

计时器计时器

计时器计时器

摘要本设计是脉冲数字电路的简单应用,设计了篮球竞赛12分钟和24秒倒计时器。

此计时器可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。

此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。

本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能。

本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。

控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。

当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。

同样当12分钟递减到零时也会出现声音的提醒。

关键词:计数器24秒倒计译码显示电路控制电路报警电路目录第一章总体设计思路、基本原理和框图 (3)1、设计要求 (3)2、基本原理 (3)3、总体设计框图 (4)第二章单元电路设计与方案比较(各单元电路图) (4)1、秒脉冲发生器的设计 (4)2、秒、分倒计数器的设计 (5)3、译码器和显示器的设计 (7)4、节次控制电路的设计 (7)第三章器件选择 (7)1 设计所需器件 (7)2 器件介绍 (8)(一)十进制可逆计数器74LS192(二)二输入四与非门74LS00第四章总原理图 (9)第五章安装调试,性能测试和结果分析 (10)第六章心得体会和课程总结 (11)第七章附录(元器件清单) (12)第八章参考文献 (13)第一章、总体设计思路、基本原理和框图一、设计要求1、篮球比赛采取四进制,每节12分钟,要求能够计时;2、篮球比赛采取进攻24秒制,要求能够倒数计时;3、要求时间用数码管表示出来;4、要求可以手动控制计时,即能够随时暂停,启动后可以继续读数,并能够对技数进行清零;5、要求每节结束、全场结束和24秒结束时才能够自动进行声音提示。

数字电路课程设计数字秒表

数字电路课程设计数字秒表

2.5冲不可以通过与门,计数电路就会停止,实现暂停 功能,当S1闭合时,恢复计数;当S1闭合,S2断开时,R0(1) R0(2)都 接低电平,实现计数功能。当S1闭合,S2闭合时,R0(1) R0(2)都接高 电平,实现清零功能。将S1和S2想与是为了实现当电路处于暂停状态时 不能使用清零功能。
2. 设计方案
2.1实验设计原理:
汽车尾灯控制器由时钟发生电路、分频电路、译码电路、开关驱动 电路及终端显示电路五部分组成。本实验设计时钟脉冲源采用电路板上 的1000HZ脉冲,74ls90具有分频功能, 分频电路由三片74ls90芯片组成,最终分频为1HZ。74ls738芯片及四个 与门组成译码电路, 74ls138芯片A0、A2输入端接入输入脉冲,A2端接低电平输出端, Y0、 Y1 、Y4、Y5分别与四个与门与LED灯相接。开关驱动电路由与门、或 门、非门、与非门等门电路连接而成。
11 0 X 11 X 0 X X 11
X 0 X0 0 X 0X 0 X X0 X 0 0X
Q3 Q2 Q1 Q0
0 0 00 0 0 00 1 0 01
计数
表2 当R0(1) R0(2)都接高电平时,实现清零功能。当R0(1) R0(2)都接低 电平时,实现计数功能。故将4个十进制计数器的R0(1) R0(2)相连, 由开关S2控制,实现计数和清零功能。
(3)夜间行车电路控制图
逻辑开关S3接高电位、S4接低电位时
D5、D6灯同时闪烁,表示夜间停车
逻辑开关S3、S4同时接高电位时D5、D6 灯长亮,表示夜间行车。
3. 工作总结及心得体会
实验中电路接线仍然是一个很麻烦的过程,由于这个实验门电路较多,所 以一不小心就会接错线路,或者忘记接了哪个端口。理论上成熟之后,实际操 作是一个很重要的过程。

秒表计时器数字逻辑课程设计

秒表计时器数字逻辑课程设计

秒表计时器数字逻辑课程设计
一、设计目的
通过设计并制作一个秒表计时器,使学生掌握数字逻辑电路的基本原理,熟悉常见数字逻辑门电路的特性和应用,培养实际动手能力和解决问题的能力。

二、设计任务
设计一个具有启动、停止和重置功能的秒表计时器;
使用数字逻辑门电路实现计时器的控制逻辑;
设计一个显示电路,用于显示计时器的计数值;
编写控制程序,实现计时器的自动计时、停止和重置功能。

三、设计步骤
确定计时器的功能需求,设计控制逻辑电路;
选择合适的数字逻辑门电路,如与门、或门、非门等;
设计显示电路,选择合适的显示器,如LED数码管;
编写控制程序,实现计时器的自动计时、停止和重置功能;
搭建实验电路,测试计时器的功能是否符合设计要求;
优化和完善设计,确保计时器稳定可靠地工作。

四、设计要求
设计过程中要充分考虑实际应用的需求,注重实用性和可靠性;
设计过程中要注重电路的优化和简化,降低成本和功耗;
编写程序时要注重代码的可读性和可维护性,遵循良好的编程规范。

五、总结
通过本次课程设计,学生可以深入了解数字逻辑电路的基本原理和应用,掌握常见数字逻辑门电路的特性和应用,提高实际动手能力和解决问题的能力。

同时,学生还可以学习到如何根据实际需求进行电路设计和程序编写,为后续的学习和工作打下坚实的基础。

-数电报告-30秒计时器

-数电报告-30秒计时器

目录简介1 1 系统组成原理 (2)2 元器件的选择 (4)2.1 计时器电路元器件的选择 (4)2.2 译码显示电路元器件的选择 (5)七段发光二极管(LED)数码管 (5)2.3 555定时器 (6)3 各部分设计及其原理图 (8)3.1 30秒循环计数器的原理图 (8)3.2 开关控制电路 (9)3.3 秒脉冲发生电路 (9)3.4 报警电路 (10)4 总原理图 (10)5 课设小结 (11)6 参考文献 (12)简介随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。

本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。

一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。

本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

同时本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。

此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。

此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。

多功能数字计时器实验报告.

多功能数字计时器实验报告.

多功能数字计时器实验报告姓名:***学号:************专业:信息对抗指导老师:***实验时间:2015年9月18日目录1.电路基础功能设计要求介绍2.电路原理简介3.单元电路设计3.1脉冲发生电路3.2计时电路3.3译码显示电路3.4清零电路3.5校分电路3.6报时电路4.总电路图5.拓展电路5.1启停电路5.2动态显示电路6.附录6.1元件清单6.2芯片引脚图和功能表7.实验感受与体会8.参考文献一、电路基础功能设计要求介绍设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:a.设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);b.设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;c.设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零.d.设计校分电路:在任何时候,拨动校分开关,可进行快速校分.(校分隔秒)e.设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)f.系统级联.将以上电路进行级联完成计时器的所有功能.二、电路原理简介工作原理:由振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲.秒个位计数器记满10后向秒十位计数器进位,秒十位计满6后向分进位同时置零. 计数器的输出经译码器送显示器.记时出现误差时可以用校时电路进行校分.扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:三、单元电路设计1.脉冲发生电路脉冲信号发生电路完成为计时电路提供计数脉冲的功能。

实验中采用32768Hz的石英- 4 - 晶体多谐振荡器作为脉冲信号源。

LED数字倒计时器实验报告

LED数字倒计时器实验报告

淮阴师范学院物理与电子电气工程学院课程设计报告学生姓名靖洋学号171206011班级12级4班专业电子信息科学与技术题目LED数字倒计时器指导教师魏东旭、陈勇2014 年12 月一、设计任务与要求LED倒计时器设计以AT89S52单片机为核心,系统包括6位数码管显示电按键电路、电源电路、复位电路、晶振电路及蜂鸣器电路几部分。

要求:1)LED数码管显示倒计时时间;2)倒计时过程中能设置多个闹钟,当倒计时值倒计到定值时会发出约2s的报警声音;3)通过按键可以对倒计时设定初值,倒计时初始值范围在24:00:00---00:00:60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。

二、框图设计2.1 LED数字倒计时器主要由AT89C51单片机、晶振电路、复位电路、按键电路、数码管电路、蜂鸣电路组成(如图2.1)。

图2.1 LED数字倒计时器系统设计框图2.2 晶振电路分析1)晶振电路原理:晶振是通过电激励来产生固定频率的机械振动,而振动又会产生电流反馈给电路,电路接到反馈后进行信号放大,再次用放大的电信号来激励晶振机械振动,晶振再将振动产生的电流反馈给电路,如此这般。

当电路中的激励电信号和晶振的标称频率相同时,电路就能输出信号强大,频率稳定的正弦波。

整形电路再将正弦波变成方波送到数字电路中供其使用。

2)晶振电路的特点:晶振是石英振荡器的简称,英文名为Crystal,晶振分为有源晶振和无源晶振两种,其作用是在电路产生震荡电流,发出时钟信号。

它是时钟电路中最重要的部件,它的作用是向IC等部件提供基准频率,它就像个标尺,工作频率不稳定会造成相关设备工作频率不稳定,自然容易出现问题。

由于制造工艺不断提高,现在晶振的频率偏差、温度稳定性、老化率、密封性等重要技术指标都很好,已不容易出现故障,但在选用时仍可留意一下晶振的质量。

图2.2晶振电路原理图2.3 复位电路的分析1)复位电路的原理:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。

数电数字钟实验报告

数电数字钟实验报告

竭诚为您提供优质文档/双击可除数电数字钟实验报告篇一:数电课程实验报告——数字钟的设计《数字电子技术》课程设设计题目:班级学号:学生姓名:指导教师:时间:计报告数字钟的设计20XX年12月27日~20XX年1月2日《数字电子技术》课程设计任务书一、设计题目:数字钟的设计二、设计任务与要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

其中时为24进制,分秒为60进制。

2.其他功能扩展:(1)设计一个电路实现时分秒校准功能。

(2)闹钟功能,可按设定的时间闹时。

(3)设计一个电路实现整点报时功能等。

三、设计内容与步骤:1.查阅相关资料;2.完成设计方案;3.芯片选定及各单元功能电路分析;4.画出整体电路原理图(实验);5.完成设计报告。

四、设计计划与进度安排:1.查阅相关资料(12月24-26日);2.完成设计方案及单元电路(12月27-29日);3.完成整体电路原理图(实验)并完成设计报告(12月30-1月2日);五、设计材料与成果要求:完成整体电路设计,提交设计报告。

六、设计考核要求:课程成绩分优秀、良好、中等、及格、不及格。

由设计报告结合实验考核。

七、设计参考书目:1.《eDA与数字系统设计》李国丽编,机械工业出版社,20XX年3月2.《电子技术实践及仿真》孙丽霞编,高等教育出版社,20XX年1月3.《电子技术基础实验及课程设计》刘稿等编,机械工业出版社,20XX年02月4.《电子技术实验与课程设计》彭介华编,高等教育出版社,1997年10月5.《数字电子技术》童诗白编著高等教育出版社20XX年数字钟的设计摘要:设计简述数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟。

数字计时器

数字计时器

电工电子综合实验报告—数字计时器院系:电光学院专业:通信工程班级:07042201学号:0704220100姓名:* * *指导教师:李元浩时间:2009.09.17—2009.09.20目录1.设计电路功能要求(1)2.设计电路原理图(1)3.电路逻辑原理图及工作原理(2)4.各单元电路原理及逻辑设计4.1脉冲发生电路(2-3)4.2计时电路(3-4)4.3显示电路(4)4.4清零电路(5)4.5校分电路(5)4.6报时电路(6)5.电路安装与调试说明(6-7) 6.对电路的改进意见(7)7.收获体会及建议(7)8.设计参考资料(7)9.附录(8-10)1.设计电路功能要求本实验要求设计一个0分00秒-9分59秒的多功能数字计时器。

数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。

其中控制电路由清零电路,校分电路,和报时电路组成。

该数字计时器可以在控制电路的作用下具有开机清零、手动清零、快速校分和整点报时功能。

①.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;②.设计计时和显示电路,将分及秒的个位、十位分别在七段显示器上显示出来,从0分0秒开始,计到9分59秒,然后重新计数。

将分及秒的个位、十位分别在七段显示器上显示出来,七段显示器循环显示数字000~959;③.设计清零电路,实现手动及开机清零;④.设计校分电路,在校分开关控制下实现分校正;⑤.设计报时电路,使数字计时器实现在9分53秒、9分55秒、9分57秒低音(1KHz)报时,以及在9分59秒高音(2KHz)报时;2.设计电路原理图图2-1 电路原理图3.电路逻辑原理图及工作原理数字计时器的原理方框图如图3-1所示,该电路系统由脉冲发生电路、计时和显示电路、清零电路、校分电路和报时电路和其它附加电路等几部分组成的。

脉冲发生电路由振荡器和分频器组成,振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准的秒脉冲,同时也可得到其他不同频率的脉冲。

如何设计一个简单的计时电路

如何设计一个简单的计时电路

如何设计一个简单的计时电路计时电路是电子设备中常见的一种电路,它能够精确地记录和显示时间。

设计一个简单的计时电路可以通过使用集成电路和几个基本元件来实现。

在本文中,我将向您介绍如何设计一个简单的计时电路,并提供一个简单的电路图示作为参考。

1. 器件准备在设计计时电路之前,您需要准备以下器件:- 集成电路芯片 (例如CD4060)- 电阻- 电容- 七段数码管 (用于显示时间)- 开关按钮 (用于启动和停止计时)2. 电路图示下图是一个简单的计时电路的示意图,您可以根据该示意图进行元件的连接和布线。

[图片]3. 连接元件按照电路图示,将各个元件进行连接。

首先,将集成电路芯片CD4060的引脚与其他元件相连。

根据需要,确定计时的时间范围和精确度,并选择合适的电阻和电容值。

这些值将决定计时器的频率和稳定性。

确保连接正确,以避免电路故障。

4. 数码管显示将七段数码管连接到计时电路的输出引脚上。

根据不同的数码管类型,可能需要使用适当的电流限制电阻来控制显示亮度。

确保正确连接,并测试每个数码管是否正常工作。

5. 开关按钮控制将开关按钮连接到计时电路的启动/停止引脚上。

这将允许您启动和停止计时器。

确保开关可以稳定地连接和断开电路,并与其他元件无误。

6. 供电和调试为计时电路提供适当的电源。

使用电池或适配器供电,并确保电源稳定和安全。

在通电之前,检查电路的所有连接,并确保没有短路或接触不良的问题。

7. 测试和调整通电后,您可以通过按下开关按钮来启动和停止计时器。

观察七段数码管上的数字显示,并确认计时器的运行是否准确。

如果需要调整计时器的频率或稳定性,您可以微调电阻和电容的值。

总结:在本文中,我们讨论了如何设计一个简单的计时电路。

通过使用集成电路、电阻、电容、七段数码管和开关按钮,您可以实现一个准确显示时间的计时器。

请务必遵循电路图示、正确连接元件,并测试和调整计时器以确保其运行良好。

希望这篇文章能帮助您设计和实现一个满足需求的计时电路。

数字时钟设计完全数字电路

数字时钟设计完全数字电路

数字时钟设计完全数字电路Modified by JEEP on December 26th, 2020.数字时钟设计姓名学号专业电子信息技术指导教师成绩日期基于555的数字时钟显示摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。

在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。

有准确计时,以数字形式显示时、分、秒的时间和校时功能。

秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。

在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。

并且要用数码管显示时、分、秒,各位均为两位显示。

1引言随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。

数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。

作为电子技术的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。

于是,经过查阅许多相关书籍和浏览许多网络未找到目录项。

资源,我做了这款简单数字电子钟的设计。

2 方案论证原理设计和功能描述2.1.1 数字计时器的设计思想要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。

而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。

数电课程设计-篮球12分24秒计时器

数电课程设计-篮球12分24秒计时器

篮球计时系统的设计与制作一、分电路设计1、12分钟部分设计:(1)12分钟倒计时秒部分。

运用两片可逆计数器74LS192来构成60进制的减法器。

这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,置数、清零端无效,即可以实现十进制的倒计数功能。

而最低位的计数变化应当与时钟脉冲的变法同步。

所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让秒钟部分的个位完成从0—9—8—7—6—5—4—3—2—1—0的计时特点。

该秒钟部分的十位与个位的计数进制不同,是将计数芯片74LS192接成六进制的计时器,让其实现从0—5—4—3—2—1—0的倒计时特点,所以必须要置数当计时到0时,UP常接高电平,每当DOWN端来一个上升沿脉冲时,就置数为5,所以将芯片的A和C端接高电平,B,D端接低电平这样就完成了置数功能。

要将两芯片组成一个60进制的减法计数器就要将12分钟的秒十位的DOWN端连接到秒个位的借位输出端BO,,其功能是当秒个位减到0时,借位输出端输出一个低电平,秒十位的DOWN端就来了一个低电平,这样等到下次高电平来的时候,十位就计数一次,这样就连成了一个60进制计数器。

具体电路图如下:(2)12分钟倒计时分部分。

运用两片可逆计数器74LS192来构成分别构成一个二进制和一个十进制的减法器。

这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,不同于12分钟秒个位,其置数端要置为2使计数从2开始减法计数,所以将芯片的B接高电平,A,C,D端接低电平这样就完成了置数功能。

清零端无效,即可以实现十进制的倒计数功能。

而最低位的计数变化应当与时钟脉冲的变法同步。

所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让分钟部分的个位完成从2—1—0—9—8—7—6—5—4—3—2的计时特点。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字计时器设计 0704240211 陈海欣
一、实验目的
(1) 掌握常见的集成电路的工作原理和使用方法 (2) 学会单元电路的设计方法 二、实验原理
数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,其中控制电路按照设计要求可以由校分电路、清零电路和报时电路组成。

具体的原理框图如图所示:
数字计时器原理框图
下面对计时器的工作原理按其组成进行说明。

1、 脉冲发生电路
脉冲发生电路时为计时电路提供计数脉冲的,因为设计的是计时器,所以需要产生的是1Hz 的脉冲信后。

这里采用NE555和分频器构成。

具体电路可由NE555产生12
2Hz 的脉冲和十二位二进制串行分频器CD4040实现。

CD4040最大分频系数是122,即12012
1
2Q f f =∙,则从CD4040上获得脉冲的最小频率为1212121
212
Q f Hz =
∙=。

2、计时电路
计时电路中的计数器,可以采用二-十进制加法计数器CD4518实现,共两片,一个产生分位,一个产生秒位。

秒位到59进位并归零,分位也是到59归零,主要用反馈清零法。

进位的实现可直接将2Q B Q C接到Cr端,即到60时异步清零。

而该电路又要实现
任意状态清零,需将两片CD4518的1Cr端借到,C=0时清零,可由与非门实现。

分和秒十位的清零应接+Q B Q C=,C=0时清零。

个位的进位直接将1Q D接2EN 端,下降沿触发,为十进制。

计时电路的逻辑图如下:
3、译码显示电路
译码显示电路由加330 的四线-七线译码器CD4511来驱动共阴显示器
4、报时电路
需要在某一时刻报时,就将该时刻输出为“1”的信号作为触发信号,选通报时脉冲信号,进行报时。

例如,在59分53秒、55秒、57秒发低音,559秒发高音,其中低音
用1kHz ,高音用2kHz 驱动,输出为 :
7541751234(2)(1)[((1)(1))1(1)2]Q Q Q Q Q Q Q Q Q Hz Q Hz +∙+∙
5、 校分电路 设置一个开关,当开关打到正常档时,计数器开始正常计数;当开关打到“校分”档时,分计数器可以快速进行校分,即分计数器可以不受秒计数器的进位信号控制,而选通一个频率较快的校分信号进行校分。

快速校分电路是由74LS74构成的,其中74LS74的主要功能是放开关抖动,

同时,校分时秒位要停止,可将接到秒位的CD4518的1CP。

正常工作时K=1,=0。

当K=0时Q=1,即1CP=1,秒位处于保持状态。

三、元件的整体布局
五、实验元件
NE555 1片CD4040 1片
CD4511 4片CD4518 2片
74LS00 3片74LS21 1片
74LS74 1片双字显示器2个
电阻1K 1个电阻3K 1个
电阻330欧姆28个电容0.047uF 1个
六、思考题
在校分电路中是否要外加锁存器,什么情况需要加,如何加?
答:开关较为迅速时不需要,因为有74LS74D触发器,依靠时钟触发,使输入信号稳定,但若开关抖动剧烈,在一个时钟周期内抖动不止一次,则需要一个锁存器作为D触发器的缓冲防抖动输入端
七、实验总结
在做本次实验的过程中,发现问题主要出现在连接引脚线时容易看歪,还有就是线头接触不良的问题,总结出经验,接下来就好做多了,采用了模块拼装的方法,确保实验成功的机会。

相关文档
最新文档