基本电路的VHDL实现

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

if(g1='1' and g2a='0' and g2b='0') then
case indata is when "000"=>y<="11111110"; when "001"=>y<="11111101"; when "010"=>y<="11111011"; when "011"=>y<="11110111"; when "100"=>y<="11101111"; when "101"=>y<="11011111"; when "110"=>y<="10111111";
三线-八线译码器74LS138的输出有效电平为低电平,译 码器的使能控制输入端g1、g2a、g2b有效时,当3线数据 输入端cba=000时,y[7..0]=11111110(即y[0]=0);当 cba=001时,y[7..0]=11111101(即y[1]=0);依此类推。
6
第5章 VHDL应用实例
2020年3月25日2时8分
用VHDL描述的三线-八线译码器74LS138源程序如下: LIBRARY ieee; USE ieee.std_logic_1164.all;
entity decoder38 is port(a,b,c,g1,g2a,g2b:in std_logic;
y:out std_logic_vector(7 downto 0));end decoder38;
第5章 VHDL应用实例
2020年3月25日2时8分
第5章 VHDL应用实例
5.1 基本逻辑电路VHDL设计 5.2 存储器的VHDL设计 5.3 状态机的VHDL设计 5.4 VHDL描述风格 本章小结
1百度文库
第5章 VHDL应用实例
2020年3月25日2时8分
5.1 基本逻辑电路的VHDL设计
5.1.1 组合逻辑电路 组合逻辑电路有与门、或门、非门、与非门、或非门和异或
2020年3月25日2时8分
【例5.5】 优先编码器。
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
ENTITY ENCODER IS PORT(IN1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
OUT1:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END ENCODER;
y<=a nor b; end nor_behave;
2020年3月25日2时8分 3
第5章 VHDL应用实例
2020年3月25日2时8分
同时实现一个与门、或门、与非门、或非门、异或门及反相器的
逻辑
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
ENTITY GATE IS PORT (A,B:IN STD_LOGIC; YAND,YOR,YNAND,YNOR,YNOT,YXOR:OUT STD_LOGIC); END GATE;
8
第5章 VHDL应用实例
when "111"=>y<="01111111"; when others=>y<="XXXXXXXX"; end case;
else y<="11111111"; end if; end process; end behave38;
2020年3月25日2时8分
9
第5章 VHDL应用实例
第5章 VHDL应用实例
BEGIN y<=a NAND B;
END NAND2PP;
【例5.2】2输入或非门 LIBRARY ieee; use ieee.std_logic_1164.all; entity nor2 is port(a,b:in std_logic;
y:out std_logic); end nor2; architecture nor_behave of nor2 is begin
ARCHITECTURE ART OF GATE IS
BEGIN
YAND<=A AND B;
--与门输出
YOR<=A OR B;
--或门输出
YNAND<=A NAND B; --与非门输出
YNOR<=A NOR B;
--或非门输出
4
第5章 VHDL应用实例
2020年3月25日2时8分
【例5.3】2输入异或门电路 library ieee; use ieee.std_logic_1164.all; entity xor2 is PORT(a,b:IN STD_IOGIC;
architecture behave38 OF decoder38 is signal indata:std_logic_vector(2 downto 0); begin indata<=c&b&a; process(indata,g1,g2a,g2b)
begin
7
第5章 VHDL应用实例
2020年3月25日2时8分
门等,用VHDL语言来描述十分方便。 【例5.1】2输入与非门电路 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
ENTITY xx1 IS PORT(a,b:IN STD_logic;
y:OUT STD_LOGIC); END xx1;
ARCHITECTURE NAND2PP OF xx1 IS 2
ARCHITECTURE ART3 OF ENCODER IS
BEGIN
PROCESS(INT1)
BEGIN
10
第5章 VHDL应用实例
2020年3月25日2时8分
IF IN1(7)=‘1’ THEN OUT1<="111";
ELSIF IN1(6)=‘1’ THEN OUT1<="110";
ELSIF IN1(5)=‘1’ THEN OUT1<="101";
ELSIF IN1(4)=‘1’ THEN OUT1<="100";
ELSIF IN1(3)=‘1’ THEN OUT1<="011";
y:out std_logic); END XOR B; ARCHITECTURE XOR_BEHAVE OF XOR2 IS
begin y<=a xor b;
END XOR_BEHAVE;
5
第5章 VHDL应用实例
2020年3月25日2时8分
【例5.4】实现74LS138三线-八线译码器(输出低电平有 效)。
相关文档
最新文档