简易地铁自动售票系统设计方案

合集下载

城市轨道交通自动售卖系统设计与实现

城市轨道交通自动售卖系统设计与实现

城市轨道交通自动售卖系统设计与实现随着城市化进程加快,城市人口不断增加,交通问题也日益凸显。

目前,城市轨道交通自动售卖系统成为解决城市拥堵问题的重要方式之一。

本文将介绍城市轨道交通自动售卖系统的设计与实现。

一、设计原则城市轨道交通自动售卖系统的设计应该遵循以下原则:(1)便利性。

系统设计应该优先考虑用户的便利性,使用户能够简单、快速地完成购票、查询等操作。

(2)信息安全。

系统设计应该保障用户个人信息安全,避免信息泄露给第三方。

(3)稳定性。

系统应该具有高可靠性和高稳定性,保证用户能够顺利完成购票等操作。

(4)可扩展性。

系统应该具有可扩展性,随着城市轨道交通的发展,能够方便地扩展新的功能。

二、系统实现城市轨道交通自动售卖系统的实现包括硬件设备和软件开发两部分。

(1)硬件设备城市轨道交通自动售卖系统的硬件设备主要包括售票机、自助取票机、智能闸机和管理服务器等。

售票机:售票机是城市轨道交通自动售卖系统的核心设备之一,用户可以在售票机上完成购票、查询等操作。

售票机应该具有触摸屏、打印机、读卡器等基本设备。

自助取票机:自助取票机是方便用户取票的设备,用户可以通过自助取票机取到购票时的电子票或者纸质票。

智能闸机:智能闸机是为了控制车站人员进出站而设立的,它能够对进出站的人员进行验证,防止不合法人员进出站。

管理服务器:管理服务器是为了对系统进行统一管理而设立的,它能够管理各个硬件设备的运行状态以及用户信息等。

(2)软件开发城市轨道交通自动售卖系统的软件开发主要包括界面设计、通信模块和数据库设计等。

界面设计:界面设计是城市轨道交通自动售卖系统的重要组成部分,好的界面设计能够提升用户的使用体验,使用户更加快速、简单地完成购票、查询等操作。

通信模块:通信模块是城市轨道交通自动售卖系统和城市轨道交通公司、手机APP等其他系统之间的桥梁,它能够将数据传递给其他系统或者接收其他系统传递过来的数据。

数据库设计:数据库设计是城市轨道交通自动售卖系统的关键部分,它能够对用户购票、查询等信息进行保存和查询。

C++实现地铁自动售票系统程序设计

C++实现地铁自动售票系统程序设计

C++实现地铁⾃动售票系统程序设计本⽂实例为⼤家分享了C++实现地铁⾃动售票系统的具体代码,供⼤家参考,具体内容如下题⽬描述:地铁是当今城市较为流⾏的⼀种铁路运输的形式,地铁能避免城市地⾯拥挤,充分利⽤空间,具有运量⼤、准时、正点率较其他公交⾼、速度快等优点。

请设计⼀个简易的、带菜单的地铁⾃动售票机系统。

功能需求:(1)设计⼀个地铁路线类Router,包含路线编号,途中的各个站点。

(2)设计⼀个地图类Map,可以显⽰所有可以乘坐的地铁站名,以及线路信息。

(3)根据⽤户输⼊的起点和终点和⼈次信息,可以⾃动计算应付⾦额;根据⽤户输⼊的⾦额,计算找零信息。

程序设计:1234567891011 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40#include <iostream>#include <vector>using namespace std;class Router {private:string port[100];//经过站点int id;//路线编号int count=0; //站点数⽬public:void setId(int i) {id=i;}void addPort(string name) {port[count]=name;count++;}void getPort() {int i=0;for(i=0; i<count; i++) {cout<<"第"<<i+1<<"站:"; cout<<port[i];cout<<endl;}}int check(string u,string v) {42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 for(int i=0; i<count; i++) {if(port[i]==u) {for(int j=0; j<count; j++) {if(port[j]==v) {// u vreturn((i-j)>=0)?(i-j):(j-i);}}}}return0;}};class Map {private:vector<Router> r;//路线图public:double charge=2;//每站价格void setCharge(double ch) {charge=ch;}void init() {Router temp1;temp1.setId(1);temp1.addPort("west");temp1.addPort("mid1");temp1.addPort("south");r.push_back(temp1);Router temp2;temp2.setId(2);temp2.addPort("south");temp2.addPort("mid2");temp2.addPort("east");r.push_back(temp2);Router temp3;temp3.setId(3);temp3.addPort("east");temp3.addPort("mid3");temp3.addPort("north");r.push_back(temp3);Router temp4;temp4.setId(4);temp4.addPort("north");temp4.addPort("mid4");temp4.addPort("west");r.push_back(temp4);}int buy(string start, string end) {int count=r.size();int d=0;for(int i=0; i<count; i++) {Router temp=r[i];d=temp.check(start,end);if(d>0) {cout<<"您需要乘坐"<<i+1<<"号线"<<endl; return d;}}104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 }void show() {int count=r.size();cout<<"本市地铁线路图如下:"<<endl;for(int i=0; i<count; i++) {cout<<i+1<<"号线:"<<endl<<endl;Router temp=r[i];temp.getPort();cout<<endl<<endl;}}};void menu() {int m;Map map;map.init();while(1) {cout<<endl<<endl<<endl;cout<<"----------欢迎来到地铁售票系统-----------"<<endl; cout<<"----------1、路线查询-----------"<<endl;cout<<"----------2、购票-----------"<<endl;cin>>m;if(m==1) {map.show();} else if(m==2) {cout<<"请输⼊起点:"<<endl;string s;cin>>s;cout<<"请输⼊终点:"<<endl;string e;cin>>e;cout<<"请输⼊⼈数:"<<endl;int c;cin>>c;int d=map.buy(s,e);if(d>0) {double rs=(double)c*(double)d*map.charge;cout<<"您需要⽀付的费⽤为:";cout<<rs<<endl;cout<<"请输⼊您⽀付的⾦额:";double in=0;cin>>in;if(in>=rs) {cout<<"购票成功!"<<endl;cout<<"找零:"<<in-rs<<"元"<<endl;} else{cout<<"⾦额不⾜,购票失败!";}} else{cout<<"抱歉,请选择其他交通!";}}}}int main() {menu();return0;}165166167程序运⾏演⽰:以上就是本⽂的全部内容,希望对⼤家的学习有所帮助,也希望⼤家多多⽀持。

地铁自动售票机系统设计

地铁自动售票机系统设计

关键词 : 自动 售检 票 ; 站 计 算 机 ; 车 自动 售 票 机 ; 程 票 发 售 ; 间 断 电源 ; 工 售 补 票机 单 不 人 中 图分 类 号 : P U2 T3 9
De ino t c e n ig Ma hn y t m sg f Me r t k t oi Ve dn c ie S se
的终 端设 备 之一 , 要 的功 能就 是 实 现无 人 自动 售票 。 主
单 票 票块 鬈翟 程售 模 幌
系统 组 成 框 图如 图 1 示 。 所
热 敏 凭 条 扣 印机 带 自动 切 纸 , 打 印 多 联 能 小 间 断 电 源 市 电断 开 后 能 提 供5m i 系 统 供 电 . n的
主 控 单 兀
各 大 城 市都 开 始地 铁 项 目的建 设 。 市 与 城 际 轨 道 交 城 通 的建 设 也成 为近 年 国 家投 资 的重点 项 目。 F ( A C 自动
售 检 票 ) 统 就 是用 来解 决轨 道 交 通 系统 各 个 终端 设 系
技 术 要 求
MOX 4 1XP N2 0 1 G,G内l 、 A V2 0 一 E: 7 . 1 6 仔 以
数 量
备 协 同 工作 的半 台, 主要 负 责 A动 售 票 、 自动 检 票 、 人 工 售 票 、 账 与 清算 等 工 作 , 系 统 由 以下J 块 组成 : 结 该 L C ( C 中央 计算机 系统 )S ( ; C 车站 计算机 系统 )T M ( ;V 自 动售 票机 )A ; GM ( 自动 检票 机 )AVM ( ; 自动加 值 机 ) ;
下面 详 细介 绍 自动 售 票机 T M 的组 成 、 V 主要模 块
的功 能 以及 TVM 的工 作流程 。

简单轻轨自动售票系统设计

简单轻轨自动售票系统设计

摘要:本文介绍了一种基于电子设计自动化eda技术的一个简单轻轨自动售票系统的设计方案,给出了轻轨售票系统的大致介绍、各个子模块的功能介绍以及对各个子模块进行了相应的系统仿真,并且绘制出了相应的仿真波形图。

关键词:轻轨;自动售票系统;自动化1引言在介绍轻轨售票系统以前,我们先来了解一下什么是轻轨。

轻轨交通起源于20世纪70年代的法国、比利时和中、北欧的一些城市。

它与地铁相似,但是,其容量和体积都相对地铁来说比较小。

轻轨在城市的中心地段可以在地下行驶,在城市的边缘地段可以上高架,在城乡结合的区域则可以直接在地面行驶。

为了解决城市道路之间的交通堵塞问题,各城市开始修建了轻轨,便于人们的出行。

自动售票系统是轻轨的重要组成部分,它主要就是实现无人售票的功能,同时使乘客轻松完成买票的过程。

下面就详细介绍一个简单自动售票系统的大概框架设计以及各个子模块的功能。

2总体架构该自动售票系统主要由监视系统、报站液晶屏、触摸键盘、语音提示模块、显示器、钱币自动找零模块构成。

其中,监视系统主要起到监督售票系统的作用,避免售票系统出现故障的状况。

报站液晶屏的主要功能是对每个站点进行报站,提醒乘客能及时下车。

触摸键盘与语音提示模块可以连在一起,即输入乘客的起始地点以及最后需要到达的地点、输入需要购买车票的张数,最后语音提示模块会报出价格,方便乘客投币。

在这里,触摸屏和语音提示的设计使系统更加的人性化,方便人们的识别和选择,给乘客带来了便利。

本系统还设计了自动纠错系统,如果乘客不小心输入了错误的地点以及错误的车票张数,那么乘客可以通过控制触摸屏按键让系统自动清零,重新输入。

在各个子模块功能介绍的最后还介绍了钱币自动找零模块的设计,如果钱币投入不足的时候,系统等待再次投币,拒绝售票;如果钱币价格刚好达到要求,那么出售车票;如果钱币价格超出车票价格的范围,那么系统自动找零。

本系统的仿真过程由verilog语言来完成。

3各子模块功能 3.1选择乘车区间首先,报站液晶屏自动显示并且报出站点的名称,紧接着乘客上下车,选择乘车区间。

便捷智慧地铁系统设计方案

便捷智慧地铁系统设计方案

便捷智慧地铁系统设计方案智慧地铁系统是一种利用现代科技手段,提升地铁运营效率、改善出行体验的系统。

该系统通过智能化技术,包括物联网、人工智能、大数据等,实现地铁列车和站点的智能管理、用户信息的实时互动以及运营数据的监测和优化,从而为乘客提供更便捷、安全、舒适的出行环境。

以下是一个简要的便捷智慧地铁系统设计方案。

一、车站自助服务设施1. 智能自助售票和充值设备:通过自助售票和充值设备,乘客可以方便地购买车票和充值电子卡,减少人工售票压力。

2. 可视化导航系统:在车站设置导航屏幕,显示乘客所需的出行信息,包括到站时间、列车运行状态、乘车路线等,方便乘客快速找到目的地,并提供实时交通状况的预警信息。

二、列车智能管理系统1. 智能安检设备:在地铁列车上配备智能安检设备,可以快速准确进行安全检查,提高安全性和效率。

2. 视频监控系统:安装视频监控设备,实时监测列车运行状态和车厢内的情况,及时发现异常情况,保障乘客安全。

3. 无线传感器网络:通过无线传感器网络,获取列车的温度、湿度、气压等参数,对车辆进行实时监测和预警,提高列车的运行安全性。

三、用户互动系统1. 手机APP:开发一款移动应用程序,可以提供实时到站时间、乘车路线规划、列车运行状态、站内设施等信息,方便乘客快速出行。

2. 人脸识别系统:通过人脸识别技术,实现乘客身份的自动识别和刷码支付,提高乘客进出站的便利性和效率。

四、运营数据监测与优化系统1. 大数据分析:利用大数据技术对乘客出行数据进行分析,如热门出行时段、热门目的地等,以便优化车次调度和列车运营计划。

2. 运营监控中心:建立一个运营监控中心,通过监控中心的运营数据分析和预测,进行智能化调度和判断,提高地铁运营的效率和准确性。

3. 故障预警系统:通过数据监测和分析,可以实时监测地铁设备的运行状态,预测故障风险,及时采取维修措施,提高地铁的运行稳定性。

总结:便捷智慧地铁系统的设计方案主要包括车站自助服务设施、列车智能管理系统、用户互动系统以及运营数据监测与优化系统等方面的内容。

城市轨道交通站点自动售票系统设计研究

城市轨道交通站点自动售票系统设计研究

城市轨道交通站点自动售票系统设计研究近年来,随着城市化的不断发展以及人们生活水平的提高,轨道交通系统在城市交通中扮演着越来越重要的角色。

随着人口的不断增加和出行方式的变化,传统的售票方式已经难以满足人们的需求。

在此背景下,城市轨道交通站点自动售票系统的设计研究变得愈发重要。

本文将从系统的需求分析、技术实现以及用户体验等角度,探讨城市轨道交通站点自动售票系统的设计研究。

一、需求分析首先,我们需要对城市轨道交通站点自动售票系统的需求进行分析。

根据市民的意见调查和乘客的需求反馈,系统需要满足以下几点:1. 实现快速方便的购票功能传统的售票方式通常需要等待较长时间才能完成购票,而自动售票系统应该可以实现快速方便的购票功能,从而提高乘客的满意度。

2. 保障支付安全随着支付技术的不断发展,支付安全性也成为了一个不可忽略的问题。

在自动售票系统中,如何保障支付的安全性成为了一个必要的要求。

3. 提供多种支付方式由于市面上支付方式的不断多样化,自动售票系统也应该提供多种支付方式,包括现金、移动支付等多种支付方式,满足不同乘客的支付需求。

4. 具备操作简单的特点作为公共交通工具,自动售票系统应该具备操作简单的特点。

在乘客一次或多次购票之后,通过接触比较可以快速熟练的使用自动售票系统,这不仅可以提高购票的效率,也能减少乘客的不适感和较差的体验。

二、技术实现了解了需求之后,我们需要考虑如何实现自动售票系统,这需要对技术进行仔细的分析:1. 终端设备终端设备是自动售票系统的重要组成部分,终端设备一般会采用触摸屏幕和读取设备,可以根据乘客的操作反馈,完成相应的购票功能。

2. 支付系统支付系统是自动售票系统的核心,它的安全性和稳定性将对整个系统的运行产生重要影响,因此,支付系统必须具备较高的安全性和运行稳定性,以确保消费者的支付信息不会泄露和发生故障。

3. 软件设计自动售票系统的软件设计需要考虑使用者的习惯,使其操作简单易行,同时,还需要考虑如何实现和维护软件系统的安全性。

城市轨道交通自动售检票系统设计方案分析

城市轨道交通自动售检票系统设计方案分析

城市轨道交通自动售检票系统设计方案分析摘要城市轨道交通自动售检票系统设计对于轨道交通发展有重要的作用。

本文在进行研究的过程中,为确保自动售检票系统设计具有研究价值,本文提出一种基于云平台的AFC系统,探讨系统功能设计、框架设计、控制中心设计以及云平台设计方案,总结方案设计的具体内容以及要点,旨在优化自动售检票系统设计方案。

关键词:城市;轨道交通;自动检票系统;设计方案城市轨道交通建设是当前我国城市交通体系建设的重点工作。

目前,我国正在大力推广轨道交通工程。

尤其是北京,上海以及重庆等大型城市,正在全力打造城市轨道交通线路。

轨道交通建设极大程度上促进了城市交通体系优化,有利于解决城市交通压力大的问题,同时也能够舒缓地面交通压力。

近些年我国进行轨道交通体系建设过程中,不仅非常重视工程建设,同时也重视内部系统的完善。

如,研究发现,我国轨道交通体系正在进行智能化改造,包括应用城市轨道交通自动售检票系统设计应用,自动系统的应用使轨道交通服务更加便利。

1.城市轨道交通自动售检票系统设计方案分析城市轨道交通自动售检票是现代地铁交通建设中应用的重要系统,该系统的设计应用有利于提升轨道交通服务。

当前,我国各大城市都在研究自动售检票系统的设计,保证设计达到最佳效果。

以下是本文结合城市轨道交通自动售检票系统方案设计进行分析。

(1)系统功能设计分析城市轨道交通自动售检票系统的功能需求设计非常关键,对于系统应用有重要的作用。

通过设计研究发现,根据现代地铁交通自动售检票设计研究发现,系统总体功能主要包括票务线上管理以及票务运行管理等两方面内容:①线上票务系统是指自动售检票系统能够与线上办公形成结合,从而使需求者能够利用线上了解票务相关信息,其主要功能设计包括线路查询、车票处理、黑名单管理以及预付值管理等多项功能,为乘坐者提供线上服务,使其出行更加便利。

②站内管理系统的设计应用也非常关键。

主要包括票务管理、车票库存管理、薄车票售卖和检票统计等功能。

地铁自动售检票系统方案设计

地铁自动售检票系统方案设计

地铁自动售检票系统方案设计(总4页)本页仅作为文档封面,使用时可以删除This document is for reference only-rar21year.March培训总结交通工程学院郭江静随着我国国民经济的飞速发展和人民生活水平的不断提高,人们的出行也更为频繁,城市轨道交通作为一种重要而且方便的出行方式为越来越多的人们接受和选择。

城市轨道交通同样也面临着增加运能、提高服务质量、提高竞争能力的迫切需求。

自动售检票系统作为轨道交通系统中时刻与出行人群交流的一项重要工具,也面临这巨大的挑战,而自动售票机是自动售检票系统终端设备中内部部件最多且各部件之间关联最为复杂的设备。

自动售票机实现了旅客购票自助化,大大降低了由人工售票带来的人力消耗,避免了人工操作引起的不必要的错误。

我国城市轨道交通车站的自动售检票设备,最初是来自外国,近年来我国已进行了大量的开发研制工作,提出了多种形式的产品,技术水平也在不断提高。

国内轨道交通AFC系统的发展经历了从无到有的过程。

自动售检票系统(AFC)是基于计算机、通信、网络和自动控制等技术,实现轨道交通售票、检票、计费、收费、统计、清分和管理等全过程的自动化系统。

由于AFC系统需要承载所有轨道交通的运营财务数据,并与城市公交卡、银行系统等多个系统互联,因此,AFC系统也成为轨道交通中的准财务系统,与运营收入息息相关,是轨道交通收益的主要来源随着城市人口的不断上涨,轨道运输将成为大、中城市最方便快捷的交通手段,国内许多城市已经完成了地铁/轻轨的蓝图规划,为了适应其发展要求,如何利用先进的电子化技术创造一个安全、方便、快捷的收费环境已成为当前的迫切需要。

为此我们提供了一个采用非接触式IC卡作为储值卡支付手段,以计算机系统为信息处理方法并结合先进的电子通信、网络等技术设计的现代化信息管理系统,使地铁/轻轨售检票工作实现全过程的电子化、自动化、网络化综合管理。

一方面,它可以大量减少地铁/轻轨票务管理人员、提高地铁/轻轨系统的运行效率和效益、使乘车收费更趋合理、减少逃票情况的发生、减少现金流通、堵塞人工售/检票过程中的各种漏洞和弊端、避免售票“找零”的繁琐、方便乘客、提高收费速度、增加客流分析预测的能力、合理地调配车辆,提高了运营公司的经营管理水平;另一方面,它可以自动处理轨道管理信息,准确结算,为轨道的内部管理为城市交通发展提供科学依据。

基于VHDL的地铁自动售票机系统设计

基于VHDL的地铁自动售票机系统设计

毕业设计说明书(论文)作者: 学号:系:专业:题目: 基于VHDL的地铁自动售票机系统设计指导者:(姓名) (专业技术职务)评阅者:(姓名) (专业技术职务)2014 年 5 月毕业设计(论文)评语学生姓名:班级、学号:题目:综合成绩:毕业设计(论文)评语毕业设计说明书(论文)中文摘要毕业设计说明书(论文)外文摘要本科毕业设计说明书(论文)第Ⅰ页共Ⅰ页目次1 引言 (1)1.1 研究意义 (1)1.2 研究背景 (1)1.3 研究任务 (2)1.4 研究内容 (2)2 理论基础 (3)2.1 FPGA (3)2.2 VHDL语言 (4)2.3 QuartusⅡ软件 (6)3 课题设计 (9)3.1 设计要求 (9)3.2 系统分析与设计方案 (9)4 程序仿真 (16)4.1 自动售票机主控模块的波形仿真 (16)4.2 车票选择模块的波形仿真 (17)4.3 纸、硬币处理模块的波形仿真 (18)4.4 自动找零、出票模块的波形仿真 (19)4.5 整个售票机系统的波形仿真图 (20)5硬件验证 (22)5.1 上箱过程 (22)5.2 上箱结果 (23)结论 (25)致谢 (26)参考文献 (27)附录 (28)1 引言自动售票机主要的控制模块可以用多种语言设计完成。

但这些语言有较大的差异,不利于后期的修改和使用者之间的交流。

而VHDL语言是一种功能强大、标准化的硬件描述语言。

用VHDL语言设计程序时可以先分块编写再合并,所以能够编写出多层次的程序,与此同时,VHDL语言编写的程序避免了其他语言只能在各自环境中使用的缺陷。

本章主要讨论了地铁的起源、发展,以及随着社会的发展,为了简化购票流程,从而设计出了自动售票机来代替人工售票等。

1.1 研究意义近年来,国民经济快速发展,城市的各项设施也越来越好,进而人们对交通的要求也不断增加。

因此,安全又快捷的地铁就出现了。

地铁的速度较快,且在行驶过程的载客数较多,这是公交所不具备的,而且它的出现增加了乘客的选择,也可以很好的缓解交通拥挤的情况。

地铁自动售票机

地铁自动售票机

地铁自动售票机第一篇:地铁自动售票机自动售票机售票机高1.8米,宽0.95米,厚0.8米。

所需要触摸的最高位置为硬币的投币口,高度为1.4米,这个高度是基本可以使残疾人或是具备购票能力的儿童触碰并买到车票的。

在机器的上方还详细绘制了每个步骤的操作指引,乘客可以按照图示进行操作购票。

这台机器同时还提供充值服务。

第一步:选站依据售票机上的触摸屏显示的沈阳地铁一号线的线路图,要到哪站就点击选择目的地车站第二步:选票数触摸屏上的地铁线路图转换为“选择票数”的选项,如点击“1张”的选项,屏幕上随后显示出票价第三步:投币此时,售票机上的硬币口和纸币口同时亮起绿灯,证明投币口已打开,等待投币第四步:出票投币后大约1秒钟,车票便从售票机的“出票口”出来第五步:检票手持地铁票通过检票闸机,将车票在检票机右侧提示区轻刷一下,自动检票机发出“嘟”声,机器中间的两个红色“扇门”打开,即可通行检票通道市民只要按照屏幕提示,将车票靠近验票机读卡器,即可查询乘车的相关数据,主要包括:交易时间、交易状态、车站名称、扣除车资和车票余额五部分第六步:出站乘车到站后,乘客需从出站口离开。

将单程票放入检票机下方的“回收口”后,出站通道打开即可通过第二篇:基于VHDL编程FPGA的地铁自动售票机地铁自动售票机一、设计要求1、功能描述用于模仿地铁售票自动售票,完成地铁售票的核心控制功能。

2、功能要求售票机有两个进币孔,一个是输入硬币,识别的范围是一元硬币;一个是纸币,识别的范围是一元、两元、五元、十元、二十元。

乘客可以连续多次投入钱币。

乘客一次只能选择一个出站口,购买车票时,乘客先选出站口,有六个出站口可供选择,再选择所需的票数,然后投币,投入的钱币达到或者超过所需金额时,售票机自动出票,并找零。

本次交易结束后,等待下一次交易。

在选择出站口、所需票数以及在投币期间,乘客可以按取消键取消操作,钱币自动退出。

二、实验分析1、买票时,乘客按下开始键,售票机进入站台选择程序,乘客选择出站口后,可以按取消键重新选择,否则售票机自动进入票数选择程序,同样这时可以按下取消键重新开始选择出站口以及票数。

地铁自动售检票系统设计

地铁自动售检票系统设计

地铁自动售检票系统设计18 自动售检票系统18.1 一般规定18.1.1 地铁宜根据建设和经济发展状况设置不同水平的AFC系统。

18.1.2 自动售检票系统应满足线网运营和管理的需要,系统技术条件应一致或兼容。

18.1.3 自动售检票系统应建立统一的密钥系统和车票制式标准,系统设备应能处理城市“一卡通”车票。

18.1.4 自动售检票系统的设计能力应满足地铁超高峰客流量的需要。

自动售检票设备的数量应按近期超高峰客流量计算确定,并应按远期超高峰客流量预留位置与安装条件。

18.1.5 自动售检票系统的设计应以可靠性、安全性、可维护性和可扩展性为原则,保证数据的完整性、保密性、真实性和一致性。

18.1.6 自动售检票系统应具备用户权限管理的功能。

18.1.7 自动售检票系统应实现与相关系统的接口。

18.1.8 自动售检票系统应满足地铁各种运营模式的要求。

18.1.9 车站控制室应设置紧急控制按钮,并应与火灾自动报警系统实现联动;当车站处于紧急状态或设备失电时,自动检票机阻挡装置应处于释放状态。

18.1.10 自动售检票系统应适应车站环境的要求,车站计算机系统和车站终端设备控制器应按工业级标准进行设计。

18.1.11 自动售检票系统应选用操作简单、方便快速的设备,并应有清晰的信息提示。

18.1.12 自动售检票系统设备应具有连续24h不间断工作的能力。

18.1.13 线网自动售检票系统应按多层架构进行设计,并应遵循集中管理、分级控制、资源共享的基本原则。

各层级应具有独立运行的能力。

18.1.14 清分系统应结合线网规划、建设时序确定系统建设规模和分期实施方案。

18.2 系统构成18.2.1 自动售检票系统宜由清分系统、线路中央计算机系统、车站计算机系统、车站终端设备、传输通道和车票构成。

18.2.2 清分系统宜设置在控制中心,并应由清分服务器、应用服务器、操作员工作站、存储设备、车票编码分拣设备、打印机、网络设备和不间断电源等构成,同时宜根据需要设置灾备系统。

地铁售票系统课程设计

地铁售票系统课程设计

地铁售票系统课程设计一、课程目标知识目标:1. 让学生理解地铁售票系统的基本原理和功能,掌握系统的组成部分及运作流程。

2. 使学生掌握地铁票价计算方法,并能运用相关公式进行实际票价计算。

3. 帮助学生了解地铁售票系统与城市公共交通体系的关系,认识其在现代社会中的重要性。

技能目标:1. 培养学生运用所学知识,设计简单的地铁售票系统流程图,提高逻辑思维和动手操作能力。

2. 提高学生通过实际案例分析,解决地铁售票系统相关问题的能力。

3. 培养学生团队协作能力,通过小组讨论和分享,共同完成课程任务。

情感态度价值观目标:1. 培养学生对城市公共交通事业的关注和热爱,激发为社会发展贡献力量的意识。

2. 引导学生树立正确的消费观念,理解地铁票价制定的合理性和公平性。

3. 培养学生尊重他人意见,积极参与团队合作的品质,增强集体荣誉感。

本课程针对中学生设计,结合学生年龄特点和认知水平,以实用性为导向,注重理论与实践相结合。

通过本课程的学习,使学生能够更好地理解地铁售票系统,提高实际操作能力,培养良好的团队合作精神和社会责任感。

二、教学内容1. 地铁售票系统的基本概念与功能- 系统组成部分及其作用- 售票系统的发展历程与现状2. 地铁票价计算方法- 票价制定原则与影响因素- 不同城市地铁票价计算实例分析- 相关公式及运用3. 地铁售票系统运作流程- 自动售票机使用方法- 检票机原理及使用- 线上购票与支付流程4. 地铁售票系统与城市公共交通体系的关系- 地铁在公共交通体系中的地位与作用- 地铁与其他公共交通方式的衔接5. 课程实践与案例分析- 设计简单地铁售票系统流程图- 分析实际地铁售票系统问题,提出解决方案- 小组讨论与分享本教学内容依据课程目标,结合教材相关章节,注重科学性和系统性。

教学大纲安排合理,涵盖地铁售票系统的基本知识、票价计算、运作流程以及与城市公共交通体系的关系等内容。

通过实践与案例分析,提高学生的实际操作能力,培养其解决实际问题的能力。

地铁售票系统课程设计

地铁售票系统课程设计

地铁售票系统课程设计一、教学目标本课程旨在让学生了解和掌握地铁售票系统的基本原理和操作流程。

通过本课程的学习,学生将能够:1.知识目标:理解地铁售票系统的基本组成、工作原理和运营模式;掌握自动售票机、人工售票窗口和网络售票等不同售票方式的特点和操作方法。

2.技能目标:能够操作自动售票机进行购票、退票、改签等操作;能够使用网络售票平台进行购票、查询和退款等操作。

3.情感态度价值观目标:培养学生对公共交通事业的认同感,提高学生对地铁售票系统的使用效率和满意度。

二、教学内容本课程的教学内容主要包括以下几个部分:1.地铁售票系统的基本组成和工作原理;2.自动售票机的操作方法和使用注意事项;3.人工售票窗口的工作流程和售票技巧;4.网络售票平台的注册、购票、退票和退款等操作步骤。

三、教学方法为了提高教学效果,本课程将采用多种教学方法,包括:1.讲授法:用于讲解地铁售票系统的基本原理、操作方法和注意事项;2.讨论法:用于探讨和分析地铁售票系统中的实际问题,提高学生的解决问题的能力;3.案例分析法:通过分析典型地铁售票系统的案例,使学生更好地理解和掌握相关知识;4.实验法:让学生亲自动手操作自动售票机和网络售票平台,提高学生的实际操作能力。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:选用权威、实用的地铁售票系统教材,为学生提供系统的理论知识;2.参考书:提供相关的参考书籍,丰富学生的知识体系;3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣;4.实验设备:准备自动售票机和网络售票平台等实验设备,为学生提供实际操作的机会。

五、教学评估为了全面、客观地评价学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等情况,评估学生的学习态度和理解程度;2.作业:布置适量的作业,要求学生在规定时间内完成,评估学生的掌握情况和应用能力;3.考试:安排一次期中考试和一次期末考试,以评估学生对课程知识的掌握程度和运用能力。

地铁自助售票机课程设计

地铁自助售票机课程设计

地铁自助售票机课程设计一、课程目标知识目标:1. 学生能够理解地铁自助售票机的功能与操作流程。

2. 学生能够掌握自助售票机购票的基本步骤和使用方法。

3. 学生能够了解自助售票机在生活中的应用及其便捷性。

技能目标:1. 学生能够独立操作地铁自助售票机完成购票任务。

2. 学生能够通过观察和实践,分析自助售票机的使用问题,并提出解决策略。

3. 学生能够运用所学知识,向他人介绍自助售票机的使用方法,提高沟通与表达能力。

情感态度价值观目标:1. 培养学生对自助售票机等现代信息技术的兴趣,激发探究欲望。

2. 培养学生遵守公共秩序,爱护公共设施的良好习惯。

3. 培养学生关注社会生活,认识到科技给生活带来的便捷,增强社会责任感。

课程性质:本课程为信息技术与生活实际相结合的实践性课程,注重培养学生的实际操作能力和解决问题的能力。

学生特点:四年级学生具备一定的信息素养,好奇心强,善于观察和模仿,对新鲜事物感兴趣。

教学要求:结合学生特点和课程性质,教师应采用启发式教学,引导学生积极参与实践,注重培养学生的学习兴趣和实际操作能力。

在教学过程中,关注学生的情感态度价值观培养,提高课程的教育价值。

通过分解课程目标为具体的学习成果,为后续教学设计和评估提供依据。

二、教学内容1. 自助售票机的基本概念与功能介绍:引导学生了解自助售票机的定义、作用及其在地铁系统中的应用。

相关教材章节:《信息技术》四年级下册,第三章“智能生活”,第一节“生活中的自助服务”。

2. 自助售票机的操作流程:详细讲解自助售票机的购票、充值、查询等操作步骤。

教学安排:分为两个课时,第一课时学习购票操作,第二课时学习充值与查询操作。

3. 自助售票机的使用注意事项:教育学生遵守使用规范,爱护公共设施。

相关教材章节:《信息技术》四年级下册,第三章“智能生活”,第二节“公共设施的合理使用”。

4. 实践操作与问题解决:组织学生进行自助售票机实操练习,引导他们观察、分析并解决使用过程中可能遇到的问题。

基于二维码支付的地铁自动售检票系统

基于二维码支付的地铁自动售检票系统

基于二维码支付的地铁自动售检票系统随着科技的不断发展,二维码支付成为了一种越来越流行的支付方式。

在城市生活中,越来越多的应用场景开始使用二维码支付,例如商场、餐厅、出租车等。

而在地铁出行领域,二维码支付也有着广阔的应用前景。

基于二维码支付的地铁自动售检票系统的建设,不仅能够简化地铁乘客的购票过程,提高运营效率,同时也符合时代潮流,为城市出行带来更加便捷的体验。

一、地铁自动售检票系统的现状及存在的问题目前,大多数地铁线路在售票认证方面主要采用有人售票和自助售票机相结合的模式。

但是随着城市人口的不断增加和地铁出行的日益普及,这种传统的售检票方式已经无法满足日益增长的出行需求。

一方面,传统的有人售票存在人力成本高、排队时间长的问题,不仅增加了地铁运营方的运营成本,还给地铁乘客带来了不便。

自助售票机虽然解决了人力成本的问题,但是由于操作复杂、售票速度慢、容易出现故障等问题,导致乘客的购票体验并不理想。

基于二维码支付的地铁自动售检票系统的推出,可以有效地解决传统售检票方式存在的问题,提高地铁运营效率,加快地铁乘客的购票速度,提升城市地铁出行的便利性和舒适度。

二、基于二维码支付的地铁自动售检票系统设计方案1、二维码支付技术基于二维码支付的地铁自动售检票系统需要依托于稳定可靠的二维码支付技术。

通过手机移动支付App生成售票二维码,乘客只需在地铁站口扫描二维码即可完成购票,方便快捷。

而在地铁车厢内,通过扫描乘客手机屏幕上的二维码进行检票,实现了无人化的检票过程。

2、自助售票机地铁自动售检票系统需要设置自助售票机,方便没有智能手机或不熟悉二维码支付的乘客进行购票。

自助售票机应该设计简洁易懂的界面,支持现金和银行卡支付,确保乘客购票的便捷和多样选择。

3、安全技术考虑到地铁自动售检票系统所涉及到的大量交易数据和用户信息,安全问题是需引起高度重视的。

系统需要引入先进的加密技术和信息安全技术,保障乘客的支付安全和个人信息的保密。

自动售检票系统建设方案(一)

自动售检票系统建设方案(一)

自动售检票系统建设方案1. 实施背景随着中国经济的快速发展和城市化进程的加速,公共交通系统面临着巨大的压力。

为了提高效率、减少人工错误、提升乘客体验,自动售检票系统(AFC)成为了公共交通产业升级的必然选择。

近年来,互联网、移动支付、大数据等技术的迅猛发展,为自动售检票系统的推广和应用提供了强有力的支持。

2. 工作原理自动售检票系统基于计算机、网络通信、自动控制等技术,实现售票、检票、结算等功能。

其核心组件包括:售票机、检票机、中央服务器、支付平台等。

售票机主要负责售票,支持多种支付方式,如现金、银行卡、移动支付等;检票机通过读取车票上的信息,确认乘客的乘车资格;中央服务器负责数据存储和交易处理;支付平台则连接乘客、公交公司、银行等各方,实现资金结算。

3. 实施计划步骤3.1 需求分析首先进行深入的需求调研,了解公共交通系统的实际需求,为系统设计提供依据。

3.2 系统设计根据需求分析结果,设计系统的架构、功能模块、界面等。

3.3 硬件采购与部署采购必要的硬件设备,如售票机、检票机、中央服务器等,并进行部署。

3.4 软件研发开发自动售检票系统软件,包括前端应用、后台管理、支付接口等。

3.5 系统测试与调试对系统进行全面的测试和调试,确保系统的稳定性和可靠性。

3.6 上线运行与维护系统正式上线运行,并进行持续的维护和优化。

4. 适用范围自动售检票系统适用于各种公共交通工具,如公交车、地铁、轻轨等。

同时,该系统还支持多种语言,可以满足不同地区的需求。

5. 创新要点5.1 全渠道售票系统支持多种支付方式,如现金、银行卡、移动支付等,满足不同乘客的需求。

5.2 数据共享与优化自动售检票系统可与公交公司的其他信息系统实现数据共享,提高运营效率。

通过大数据分析,还可以为公交公司优化线路、班次等提供数据支持。

5.3 智能检票检票机可自动读取车票信息,减少人工干预,提高检票效率。

同时,通过与公安系统的联动,还可以有效防范假票、逃票等现象。

城市轨道交通自动售检票(AFC)系统方案

城市轨道交通自动售检票(AFC)系统方案

城市轨道交通自动售检票(AFC)系统方案1、方案概述轨道交通自动售检票AFC系统由中央计算机系统(CC)、车站计算机系统(SC)、自动售票机(ATVM)、半自动售票机(S-ATVM)、进/出站检票机(EnG/ExG)(包括三杆式、门式检票机、半自动补票机(BOM)、增值机(AVM)、验票机(TCM)以及查票机(PCA)、编码机(ES)、光传输网以太网、车站局域网(LAN)等设备组成。

中央计算机系统中央计算机系统由两台冗余配置的服务器、磁盘阵列、磁带机、工作站(系统管理工作站、数据管理工作站、网络通信管理工作站、参数下载工作站、票卡管理工作站、设备监控工作站、报表查询工作站、中央及远程维修工作站、10/100M交换式HUB等局域网设备、打印机、不间断电源及编码机等组成。

中央计算机系统的容量,64个本线车站,512个换乘车站。

能处理全日客流量500万人次。

中央计算机系统是自动售检票系统的管理控制中心。

中央计算机系统与各车站计算机系统进行通信;可收集全线的交易数据和设备运营状态信息,进行财务和客流统计;中央计算机系统能传送相关的参数、信息至各有关终端设备。

中央计算机系统能将需要清分的信息上传给清分系统,接收清分系统下传的清分数据、黑名单、费率等数据。

实现系统数据的集中采集、统计及管理、实现系统运作、收益及设备维护集中管理、实现对本线自动售检票系统内所有设备的监控。

中央计算机可通过网络对下级设备的软件更新。

中央计算机系统可通过通信系统的时钟子系统获取标准时间,自动进行同步,并将标准时间信息将下传至车站计算机和各终端设备。

中央计算机系统有备份和恢复功能及灾难恢复功能。

车站计算机系统车站计算机系统主要由车站计算机、系统操作工作站、10/100M交换式HUB、紧急报警按钮、打印机、UPS等组成。

车站计算机系统能处理全日客流量30万人次。

车站计算机系统可监控车站终端设备的运行状态、设备控制、客流监控、下达系统运营模式、系统参数。

基于VHDL的地铁自动售票系统设计

基于VHDL的地铁自动售票系统设计

摘要本文主要介绍了利用VHDL设计语言和Altera公司的MAX+PLUS II软件开发平台, 来设计实现地铁自动售票系统的核心控制部分的功能:站点选择,票数选择,投币处理,余额计算,自动出票等功能。

在本设计中采用了有限状态机的设计方法,将整个售票系统的控制部分化分为五个状态: 选站状态,选票状态,投币状态,出票状态和余额找零状态。

最后通过对程序的调试以及相应部分功能的仿真,验证了整个系统的原理和本设计方案的正确性。

由于采用了有限状态机的设计方法,使得本系统运行可靠性高,非法状态易控制。

关键词: FPGA,CPLD, VHDL语言,MAX+PLUS II 软件,有限状态机, ,地铁自动售票系统目录第一章绪论 (1)1.1 电子器件的发展和现状 (1)1.2 设计方法的发展 (3)1.3 层次化的设计与VHDL的应用 (5)1.4 本课题的提出与意义 (8)1.5 本课题研究内容 (8)第二章 VHDL语言介绍 (10)2.1什么是VHDL (10)2.2 VHDL语言的特点 (10)2.3 VHDL的设计流程 (11)2.4 VHDL程序的基本结构 (13)第三章 MAX+PLUS II软件介绍 (14)3.1 MAX+PLUS II简介 (14)3.2Max+PlusⅡ开发系统的特点 (15)3.3 Max+PlusⅡ功能简介 (16)3.4 Max+plusⅡ设计过程 (20)第四章地铁售票系统的设计与实现 (24)4.1 课题要求 (24)4.2设计分析 (24)4.3状态机设计 (26)第五章结束语 (33)附录 (34)参考文献 (41)致谢 (42)第一章绪论1.1 电子器件的发展和现状电子技术的发展总是同电子器件的发展密切相关的,由于电子器件的不断更新换代,电子技术得到了飞速发展,当今信息技术被广泛应用在国民经济的方方面面。

多媒体技术的普及、高速宽带网络的建设、数字电视的出现以及与我们日常生活息息相关的各种家用电器,都离不开微处理器、存储器和一些采用行业标准的专用芯片。

地铁售票系统课程设计

地铁售票系统课程设计

地铁售票系统 课程设计一、课程目标知识目标:1. 学生能够理解地铁售票系统的基本概念,掌握其运作原理和组成部分。

2. 学生能够描述地铁售票系统中涉及的数学问题,如票价计算、找零处理等。

3. 学生能够运用所学知识,分析并解决地铁售票过程中的实际问题。

技能目标:1. 学生能够运用信息技术和数学知识,设计简单的地铁售票系统流程。

2. 学生能够通过小组合作,进行问题讨论和解决方案的提出,提高沟通与协作能力。

3. 学生能够运用数学方法,对地铁售票系统进行优化分析,提出改进措施。

情感态度价值观目标:1. 学生能够认识到数学知识在实际生活中的应用价值,增强学习数学的兴趣和自信心。

2. 学生能够通过课程学习,培养解决问题的能力和创新意识,形成积极的学习态度。

3. 学生能够关注城市公共交通的发展,认识到地铁售票系统对提高交通效率的重要性,增强社会责任感。

课程性质:本课程为信息技术与数学学科的整合课程,旨在通过实际案例分析,让学生掌握数学知识在实际问题中的应用。

学生特点:六年级学生具备一定的数学基础和信息技术能力,善于观察和思考,喜欢动手操作。

教学要求:结合学生特点,注重启发式教学,引导学生主动探究,提高解决问题的能力。

在教学过程中,注重理论与实践相结合,培养学生运用所学知识解决实际问题的能力。

通过小组合作,培养学生沟通与协作能力,提升综合素质。

二、教学内容1. 地铁售票系统的基本概念与组成部分- 了解地铁售票系统的定义及其在公共交通中的作用。

- 学习地铁售票系统的基本组成部分,如售票机、检票机、后台管理系统等。

2. 地铁票价计算与找零处理- 掌握地铁票价的计算方法,如按里程计价、分时计价等。

- 学习售票过程中找零处理的方法和技巧。

3. 售票系统流程设计与优化- 学习如何设计简单的地铁售票系统流程,包括购票、检票、退票等环节。

- 探讨如何运用数学方法对售票系统进行优化,提高运营效率。

4. 实际案例分析- 分析国内外典型地铁售票系统的案例,了解其优缺点,为改进本地售票系统提供参考。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Clk:in std_logic; -- 系统时钟
Cancelkey: in std_logic; -- 取消操作键
Style: in std_logic_vector(2 downto 0); -- 车票种类
Tnum:in std_logic_vector(2 downto 0); -- 所购票数
c)客人一次只能选择一个车票种类。3种票类型用3位二进制向量编码表示,即001表示选择2元车票,010表示选择2元车票,100选择2元车票。实验之前设计的是3种票类型用2位二进制码表示,因为考虑到仿真及下载到实验板模拟的方便,遂将之改为3位,使之每一个类型用一个按键控制。
d)票机的进币口可识别三种纸币,仿照c)中模式,拟用长度为3的二进制表示。乘客可以连续多次投入钱币,并且可以以任意顺序投入。纸币最多可以投入27元。
--Limition : None
--System : Vhdl9.0
--Soft : Quartus2-9.0
--Author : ChenDawen
--Revision : Version 1.0 ,2012-10-31
--------------------------------------------
应用VHDL设计状态机的具体步骤如下:
(1)根据系统要求确定状态数量、状态转移的条件和各状态输出信号的赋值,并画出状态转移图;
(2)按照状态转移图编写状态机的VHDL设计程序;
(3)利用EDA工具对状态机的功能进行仿真验证。
经过综合比较,决定采用状态机来实现本系统的设计,这样不仅思路很清楚而且可读性也非常强.利用状态机实现售票功能。
b). 选择车票种类
图8
选择车票种类,style=“100“代表买单价为6元的车票,系统进入第二个状态state=00010,Selticket,等待选择购票数量状态,6个数码管显示600000;
c). 选择车票数量
图9
选择车票数量,Tnum=“100“代表购票 3,系统进入第三个状态state=00100,Inputmoney,等待放入钱币状态,MoneyInputAlarm=‘1‘代表钱币不足,6个数码管显示630000;
就运行速度而言,状态机状态变换周期只有一个时钟周期,而且,由于在每一状态中,状态机可以完成许多并行的运算和控制操作,所以,一个完整的控制程序,即使由多个并行的状态机构成,其状态数也是十分有限的。因此有理由认为,由状态机构成的硬件系统比CPU所能完成同样功能的软件系统的工作速度要高出两个数量级。
就可靠性而言,状态机的优势也是十分明显的。首先是由于状态机的设计中能使用各种无懈可击的容错技术;其次是当状态机进入非法状态并从中跳出所耗的时间十分短暂,通常只有2个时钟周期,约数十个ns,尚不足以对系统的运行构成损害.
f). 第三次投入钱币
图12
第三次投入钱币,Money=“010“代表投入5元,钱币足够(6*3=18<5+10+5=20),判断Castmoney>Totalmoney,MoneyInputAlarm=‘0‘代表钱币已足,系统进入第四个状态, state=01000,Ticketout,出票状态;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity SubwayAutoTicketSellSystem is
port(
Restart:in std_logic; -- 系统复位信号
Rebuy:in std_logic; --开始购票操作
f)系统能够复位。
提高要求:
将投币种类增加为1元、5元和10元三种。
二、系统设计
2、设计思路
a)购买车票时,乘客按“开始购票”按钮开始购票;
接着,选择车票的种类,即单价,分2元、4元、6元;
选择购票数量,一次购票数限制在3以,选择1、2、3;
然后,进入投币阶段,投入钱币,投入的钱币设计为1元、5元、10元币种;
无论与基于VHDL的其它设计方案相比,还是与可完成相似功能的CPU相比,状态机都有其难以逾越的优越性,它主要表现在以下几方面:
由于状态机的结构模式相对简单,设计方案相对固定,特别是可以定义符号化枚举类型的状态,这一切都为VHDL综合器尽可能发挥其强大的优化功能提供了有利条件。而且,性能良好的综合器都具备许多可控或不可控的专 门用于优化状态机的功能。
有限状态机(Finite State Machine,简称FSM)是指输出向量不仅依赖于当前输入向量,也依赖于过去输入向量序列的电路.一个有限状态机电路由寄存器逻辑(时序逻辑)和组合逻辑组成. 有限状态机是数字逻辑电路以及数字系统的重要组成部分,尤其应用于数字系统核心部件的设计,以实现高效率高可靠性的逻辑控制。
d). 投入钱币
图10
投入钱币,Money=”010”代表投入 5元,钱币不足,系统依旧停留在第三个状态state=00100,MoneyInputAlarm=‘1‘代表钱币不足,6个数码管显示630500,等待放入钱币;
e). 第二次投入钱币
图11
第二次投入钱币,Money=”100”代表投入10元,但钱币依旧不足(6*3=18>5+10=15),判断Castmoney<Totalmoney,系统依旧停留在第三个状态state=00100,MoneyInputAlarm=’1’代表钱币不足,6个数码管显示631500,等待放入钱币;
一般有限状态机的VHDL组成:
说明部分:
主要是设计者使用TYPE语句定义新的数据类型,如:
TYPEstatesIS(st0, st1, st2, st3, st4, st5);
SIGNALpresent_state, next_state: states;
主控时序逻辑部分:
任务是负责状态机运转和在外部时钟驱动下实现部状态转换的进程。时序进程的实质是一组触发器,因此,该进程中往往也包括一些清零或置位的输入控制信号,如Reset信号。
Money: in std_logic_vector(2 downto 0); --投币口
MoneyInputAlarm:out std_logic; -- 投币不足报警信号
Tout:out std_logic; -- 出票
Mout:out std_logic_vector(1 downto 0); -- 余额找出
i).再次购买
图13
之后, Rebuy=‘1‘,代表再次购票,进入第一个状态state=00001,系统数据清零,Selstyle,等待选择票种状态,6个数码管显示000000;
j). 取消操作
图14
在c),d),e)之后,如果想取消交易,cancelkey=’1’,系统进入找零状态,如,在d)之后取消,自动找零,Mout[1]=‘1‘代表检测到restmoney>5,找出一5元的纸币,找零结束,6个数码管显示630505;
e)用5位二进制数表示5个状态,分别是
状态
程序标示
代表状态
00001
Selstyle
等待选择票种状态
00010
Selticket
等待选择购票数量状态
00100
Inputmoney
等待放入钱币状态
01000
Ticketout
出票状态
10000
Givechagne
找零状态
3、系统总体框图
图1
图2
图3
图4
g). 系统自动出票
系统自动出票,Tout=‘1‘显示在3个周期代表出票3,系统进入第五个状态, state=10000,Givechagne,找零状态。
h). 系统自动找零
系统自动找零,Mout[0]=’1’持续两个周期代表检测restmoney>1,依次找出21元的纸币,找零结束,6个数码管显示632032,State=”10000”代表状态停留在找零阶段。
简易地铁自动售票系统设计方案
设计制作一个简易地铁自动售票系统。
基本要求:
a)地铁票价统一为每两元,只能投入币值为五元的人民币进行购票。
b)能够开机自检,检验显示器件正常。
c)通过按键开关BTN输入购票数和投入的人民币数并恰当显示相应信息。
d)设置适当的声音提示或显示提示表示取票和找零。
e)一次购票成功后系统能够恰当地转入下一次购票等待状态。
主控组合逻辑部分:
任务是根据状态机外部输入的状态控制信号(包括来自外部的和状态机部的非进程的信号)和当前的状态值current_state来确定下一状态next_state的取值容,以及对外部或对部其他进程输出控制信号的容。
辅助逻辑部分:
辅助逻辑部分主要是用于配合状态机的主控组合逻辑和主控时序逻辑进行工作,以完善和提高系统的性能。
图5
图6
4、分模块设计
经过分析,本地铁自动售票系统的设计需要实现以下几项主要功能:票种选择,票数选择, 投币处理,出票及余额找零.
本系统可以采用模块化设计和有限状态机的设计方案来实现。
采用模块化设计方法即从整个系统的功能出发,将系统的整体逐步分解为若干个子系统和模块,然后用VHDL语言对各个模块进行编程,最后形成顶层文件,在QuartusⅡ环境下进行编译与仿真,检查所编程序是否运行正确。如果出现错误,需要进行修改,直到完全通过为止。
当投入的钱币达到所需金额时,系统进入出票以及找零状态,售票机自动出票,并自动找出余额;
然后,再次点击“开始购票”进行另一次购票。
b)选择出站口或投币过程中,乘客都可以按“取消”按钮取消该次交易。选择出站口的过程中,若按“取消”按钮,则售票机直接进入到找零状态;投币过程中,若按“取消”,则售票机将乘客已经投入的钱币全部退出,即进入找零状态,。
相关文档
最新文档