一种高频信号相位差测量的方法

一种高频信号相位差测量的方法
一种高频信号相位差测量的方法

检测正弦信号相位差算法的研究(精)

检测正弦信号相位差算法的研究 程捷 (中国计量学院信息工程系, 杭州310034 摘要本文基于最小二乘原理和FFT 的选频特性, 讨论了二种测量正弦信号相位差的方法。该算法适用于短信号序列的相位测量。实验结果表明这二种算法具有数据处理量少, 准确度高的特点。关键词相位检测FFT 最小二乘法 一、引言 有直读法, 本文基于最小二乘原理和快速傅里叶变换(FFT 的选频特性, 提出了用最小二乘法和FFT 检测正弦信号相位差的算法。影响算法的主要因素是采样点数。利用最小二乘法数据处理量少, 准确度高, 而利用FFT 来检测相位差, 算法过程简捷。 二、算法的理论分析 11最小二乘相位测量的算法 假设有两正弦信号v 1(t 、v 2(t 被采样频率f s 采样, 得到一组M 个采样点。待处理的信号如下式所示: v 1(t =V 1sin (Ξt +Υ1 v 2(t =V 2sin (Ξt +Υ2 (1 展开上式可得 v 1(t =C 0sin Ξt +C 1co s Ξt v 2(t =D 0sin Ξt +D 1co s Ξt (2 其中: C 0=V 1co s Υ1, C 1=V 1sin Υ1 D 0=V 2co s Υ2, D 1=V 2sin Υ2故有 V

1C 2 +C 21 , Υ1=arc tg C 0 +〔1-sgn (C 0 2 V 2 D 20+D 2 1, 2tg D 0 2 (3 , C j 、D j 参数(j =0, 1 。为此, 需要应用最小二乘法。根据C j 、D j 参 数总的测量残差平方和最小, 用求偏导数的方法得到C j 、D j 参数的最小二乘估计。 假设信号频率为f =50H z , 采样频率为f s , 选取一定量的采样数据(取决于周期数K 的值 , 则M =I N T (Kf s f =I N T (KN , 这里, I N T 表示取整。采样间隔为?=1 f s , 对连续的 正弦信号按一定的时间间隔?进行采样, 得到 v i (n ? (i =1, 2, ; n =1, 2, …M 。对v 1(t 计算出各采样点值v 1(t 0 , v 1(t 1 , …, v 1(t M -1 , 可得到 v 1(t 的测量残差为: v i =C 0sin Ξt i +C 1co s Ξt i -v 1(t i i =0, 1, …, M -1 (4

信号产生与检测电路

3.1信号产生与检测电路的组成 信号产生与检测电路的组成框图如图3.1所示。 6 图3.1 信号产生与检测电路的组成框图 信号产生与检测电路的主要技术指标和功能如下: (1)网络接口:100Mb/s,全双工,支持TCP/IP协议; (2)串行接口:1个RS232接口,1个RS485接口,1个RS485转接接口,波特率最高115200B,数据位8位,停止位1位,校验位无; (3)IIC总线:连接信号处理器、主控制器、码产生器、方位控制板插座,经开关控制连接6片PCF8574; (4)高速DAC:2路,位数14位,最大采样速率210 MSP; (5)串行DAC:6路,串行控制接口SPI; (6)输入输出数字信号电平标准:5V CMOS/TTL电平; (7)检测插座:为9种电路板提供检测插座; (8)激励信号:为9种电路板诊断提供电源和激励信号; (9)检测信号:被测信号通过信号诊断钩引入信号产生与检测电路,一部分由FPGA或ARM检测,一部分经模拟开关选通输出至数据采集器检测。 信号产生与检测电路实现的功能见表3.1。

表3.1 信号产生与检测电路的功能

3.2主处理芯片介绍 3.2.1 FPGA(EP3C25) FPGA模块使用的是EP3C25系统,该系统属于FPGA-Cyclone III系列。 Altera公司于2007年07月宣布开始发售业界的首款65nm低成本FPGA-Cyclone III系列,Cyclone III FPGA含有5~120KB逻辑单元(LE),288个数字信号处理(DSP)乘法器,存储器达到4Mb。在可编程逻辑发展历史中,Cyclone III FPGA比其他低成本FPGA系列能够支持实现更多的应用[5]。对于软件无线电(SDR),Cyclone III系列在单个器件中集成了所需的逻辑、存储器和DSP乘法器等信号处理功能,成本非常低;与前一代产品和竞争产品相比,

流量计相位差检测方法

科氏质量流量计相位差检测新方法 郑德智 樊尚春 邢维巍 (北京航空航天大学仪器科学与光电工程学院 北京 100083) 摘要 基于科氏质量流量计的工作机理和实际工作情况下的信号频谱分析,提出了切实可行的相位差检测新方法。设计了改进的FI R数字滤波器,实现了对原始输出信号的实时滤波处理,有效地抑制了噪声的干扰,为科氏质量流量计的高精度测量提供了保证。同时该新方法提高了系统的动态品质。实验结果表明,所提出的方法和设计的信号处理系统具有实用价值。 关键词 科氏质量流量计 FI R滤波器 相位差检测 中图分类号 T H814+.6 文献标识码 A 学科分类与代码 460.4030 The Novel Method of Phase Difference Detection in Coriolis Mass Flowmeter Zheng Dezhi Fan Sha ngchun Xing Weiw ei (School of Instrumentation,Beihang University,Beijing100083,China) Abstract Based on the sensing mechanism of Co riolis mass flow meter and analyzing signal spect rum in actual w orki ng,the nov el applied method is devised fo r phase diff erence det ectio n.The improv ed FIR filt er i s designed and used for fil tering o rigi nal sig nals,so the noi se is rest rained ef fectiv ely and the measurement precision of the mass flowmeter is guaranteed.M eanwhile,the dynamic response perfo rmance of the syst em is improved by this novel method.The experimental resul ts showed that the method is well worthy applying. Key words Co riolis mass flow meter FIR filter Phase dif ference det ection 1 引 言 科里奥利质量流量计(以下简称为科氏质量流量计,即CM F)是一种利用被测流体在振动测量管内产生与质量流量成正比的科氏力为原理所制成的一种直接式质量流量仪表。CM F直接敏感被测流体的质量流量,同时可以检测流体的密度、体积流量,是一种应用广泛的新型多功能流量测量仪表。 图1中双U型管工作在谐振状态,流体在管中沿箭头方向流动。由于哥氏效应(Coriolis Effect)的作用,U型管产生关于中心对称轴的一阶扭转“副振动”。该一阶扭转“副振动”相当于U型管自身的二阶弯曲振动。同时,该“副振动”直接与所流过的“质量流量(kg/s)”成比例。因此,通过检测U型管的“合成振动”在B,B’两点的相位差就可以得到流体的质量流量[1~2] 。 图1 U型管质量流量计工作机理 质量流量和相位差的关系为: Q m k=K h B B(1)式中:Q m 为流过管子的质量流量(kg/s); 第26卷第5期 仪 器 仪 表 学 报 2005年5月本文于2003年9月收到,系国家自然科学基金(60274039)资助项目。

MSP430F5529测周法测量信号频率

MSP430F5529测量频率 -----测周法信号变换电路 过零比较器,lm393输出上拉电阻,两电阻分压 程序 #include #include "stdio.h" #include "math.h" //测周法,在捕获过程中,定时溢出不能被检测出,选择时钟频率为低频时能测出低频,频率高能测出频率高的部分 /* * main.c */ long long start=0; //long long int stop=0; double fre=0.0; unsigned char i=0; unsigned char over=0; void SetVcoreUp (unsigned int level) { // Open PMM registers for write PMMCTL0_H = PMMPW_H; // Set SVS/SVM high side new level SVSMHCTL = SVSHE + SVSHRVL0 * level + SVMHE + SVSMHRRL0 * level; // Set SVM low side to new level SVSMLCTL = SVSLE + SVMLE + SVSMLRRL0 * level;

// Wait till SVM is settled while ((PMMIFG & SVSMLDL YIFG) == 0); // Clear already set flags PMMIFG &= ~(SVMLVLRIFG + SVMLIFG); // Set VCore to new level PMMCTL0_L = PMMCOREV0 * level; // Wait till new level reached if ((PMMIFG & SVMLIFG)) while ((PMMIFG & SVMLVLRIFG) == 0); // Set SVS/SVM low side to new level SVSMLCTL = SVSLE + SVSLRVL0 * level + SVMLE + SVSMLRRL0 * level; // Lock PMM registers for write access PMMCTL0_H = 0x00; } void init_clock() { SetVcoreUp (0x01); SetVcoreUp (0x02); SetVcoreUp (0x03); UCSCTL3 = SELREF_2; // Set DCO FLL reference = REFO UCSCTL4 |= SELA_2; // Set ACLK = REFO __bis_SR_register(SCG0); // Disable the FLL control loop UCSCTL0 = 0x0000; // Set lowest possible DCOx, MODx UCSCTL1 = DCORSEL_7; // Select DCO range 50MHz operation UCSCTL2 = FLLD_0 + 609; // Set DCO Multiplier for 25MHz // (N + 1) * FLLRef = Fdco // (762 + 1) * 32768 = 25MHz // Set FLL Div = fDCOCLK/2 __bic_SR_register(SCG0); // Enable the FLL control loop __delay_cycles(782000); do { UCSCTL7 &= ~(XT2OFFG + XT1LFOFFG + DCOFFG); // Clear XT2,XT1,DCO fault flags SFRIFG1 &= ~OFIFG; // Clear fault flags }while (SFRIFG1&OFIFG); // Test oscillator fault flag } void send_char(char sc) { UCA0TXBUF=sc; while(!(UCA0IFG&UCTXIFG));

相位差检测

目录 一、题目要求 ........................................................ 错误!未定义书签。 二、方案设计与论证 ............................................ 错误!未定义书签。 移相电路 ......................... 错误!未定义书签。 检测电路 ......................... 错误!未定义书签。 显示电路 ......................... 错误!未定义书签。 三、结构框图等设计步骤................. 错误!未定义书签。 设计流程图........................ 错误!未定义书签。 电路图 ........................... 错误!未定义书签。 移相电路图................... 错误!未定义书签。 检测电路图................... 错误!未定义书签。 显示电路图................... 错误!未定义书签。 四、仿真结果及相关分析................. 错误!未定义书签。 移相效果 ......................... 错误!未定义书签。 相位差波形........................ 错误!未定义书签。 相位差度数........................ 错误!未定义书签。 五、误差分析........................... 错误!未定义书签。 误差分析 ......................... 错误!未定义书签。 六、总结与体会......................... 错误!未定义书签。 七、参考文献........................... 错误!未定义书签。 八、附录............................... 错误!未定义书签。 元器件清单........................ 错误!未定义书签。

如何使用相关技术测量相位差

如何使用相关技术测量相位差 测量两个周期信号之间的相位差通常需要采用诸如气象、计算和通信 等方面的科学技术。示波器提供了执行这种测量的快速简单方法。遗憾的是, 示波器的噪声、带宽和时间分辨率会限制其测量的精度。 示波器的采样率决定了其时间分辨率的大小。例如对于一个100MHz 的信号来说,相位上的1 度相当于时间上的27ps。很明显,对于1 度的相位测量精度,示波器的采样时间必须小于这个数值,因此采样率要求高于36GHz,这个数字已经超出了大多数示波器的指标范围。为了演示这种测量方法,我们选 用了Analog Arts 的SA985 USB 示波器,这种示波器具有100GHz 的采样率和1GHz 的带宽。你可以选用满足你应用时间要求的任何示波器开展这种测量。 就是有了合适的示波器,你也必须使用专门的技术才能获得精确的相位测量结果。 示波器的时间标线(人们经常用利萨茹曲线(对信号执行数学运算可以 增强相位测量性能。参考文献1、2 和3 中描写的技术就是这种运算操作的一 些例子。虽然每种方法可能适合某些应用,但测量结果还受到本文讨论范围之 外的其它多种因素影响。此外,这些技术大部分是针对正弦信号的。在诸如测 量FPGA 内部锁相环(PLL)产生的各种时钟相位性能等应用中,这些技术精度明显不高。 一种简单且精确的方法是对信号进行相关运算。相关运算是一种直接的 数学操作。有许多论文(参考文献4)对相关操作及其应用作过全面彻底的解释。由Aanlog Arts 公司开发的一种C#算法就是这种技术的一种实现。相关运算的一个关键优势在于能够发现大多数其它类型信号之间的相位差。这种技术 可以达到的精度主要受限于信号周期的相对精度和示波器的采样率。对于采样

信号点灯电路及检测方法

信号点灯电路常见故障及其检测处理方法 信号机是铁路信号设备的重要组成部分之一,在运输生产工作中,它起着指挥列车和车列运行的重要作用,在铁路运输系统中,它为提高区间和车站通过能力及编解效率提供了强有力的安全保障。随着铁路扩大内涵再生产的不断深入,铁路信号设备也在随其发生着巨大的变化。根据地区发展和站场的实际情况,所设置的信号机类型也大不相同,因此,在控制信号机显示状态的点灯电路中所接入的条件也不相同。用来提供不同的显示,以满足和适应不同地区的各种需要。信号机按用途分为进站、出站、通过、进路、预告、遮断、驼峰、驼峰辅助、复示、调车十种。本论文中将主要介绍一种信号机点灯电路--进站信号机点灯电路。 一、信号点灯电路的安全措施 信号点灯电路采用了双重系统,具有主灯丝断丝后,自动转换副丝的功能,又有较完善的故障自诊功能,点灯电路出现故障可以从控制台上的信号复示器点亮的状态以及电铃响铃报警得到发现。另外,信号点灯电路要保证断线时灭灯,允许灯光灯要使信号显示降级使用。如绿灯或黄灯灯灭要自动改点红灯。禁止灯光灭灯时要禁止信号机再开放。因此,在每一个信号灯泡上都串联一个灯丝继电器,用以监督灯泡的完整性。由于禁止灯光信号和允许灯光不能同时点亮,因此,并非每一个灯泡都需要一个灯丝继电器,而是根据每架信号机同时能点亮几个灯泡,就设置几个灯丝继电器。这样既能监督灯泡的完整性又能节省材料。 如果信号灯因混线点亮了平时不该点亮的灯光,将会给行车带来严重的危害,为此必须采取防护措施。在信号点灯电路中采取了两种故障--安全方法。一是位置法,另一种是双极折断法。位置法是将控制条件加在电源负载(即灯泡、变压器)之间,双极折断法是将控制条件加在正、负电源上。这样一处混电不能使灯光出现错误及升级显示。即满足了故

一种软件测量相位差方法研究

一种软件测量相位差方法研究 作者:杨明1姜万东1宋国云2 (1.珠海万力达电气股份有限公司,广东珠海 519085; 2.酒泉超高压输变电公司,甘肃酒泉 735000) 摘要:传统测相位的办法是通过定时采样信息,经过快速傅立叶变换进行分析,这种做法要求采样点是整个周期的信息,还要进行复杂的作商、求反正切计算,运算量大,对系统时间造成一些浪费。作者根据传统测量方法进行拓展,提出了一种新颖的相位差测量方法,计算量小,用时少,精度高,特别适用于单片机环境下的软件测相位使用。 关键词:相位差;快速傅立叶变换(FFT);单片机;软件测相位 相位差测量是工频交流电气测量技术的一个很重要的部分,电力系统中研究相位差是实现系统并列、准同期、无扰动合闸等工艺的重要前提条件,对系统稳定运行具有重要的意义。 传统的软件测相位的办法是通过定时采样一个周波的信息,利用快速傅立叶变换(FFT),将两个电气测量量的实部、虚部求出,然后对虚部差、实部差之商经过一次反正切计算,得出相位差。该方法运算量大,对系统资源浪费严重,对一些时间性要求比较苛刻的场合应用有局限性。为解决这一矛盾,本文利用考核过零点的时间差,求的相位差,研究数字滤波器,对提高测量精度有重要的意义。 1 信号采样 电气测量一般为50Hz的正弦波,为了满足测量精度、获得充裕的系统应用时间,本方 15电角度。通过单片机的定时中断,法使用的是每周24点的采样密度,既每个采样间隔是 读取中断时刻AD中各路模拟量的数值,分别储存至相应的寄存器数组中,如通道A、B的寄存器分别为AD_BUF_A[order]、AD_BUF_B[order],其中order表示采样点次序,通道A、B采样点次序严格一致。 相位测量对所测的电气量的谐波要求比较严格,所以采样电路的前级的滤波措施要得当,专门的带通滤波器电路,可以很好地解决谐波问题,但是由于滤波回路会产生一些相角偏移,所以滤波器件的选型要严格。为了使测量误差尽可能的降低,为此,软件的滤波措施也要考虑。 2采样数据处理 以通道A为基本相位,研究通道A与通道B过零点的时间差,进而求解两者之间的相位

测量相位差的主要方法

一二测量相位差的方法主要有哪些? 测量相位差可以用示波器测量,也可以把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差,可以把相位差转换为电压,先测量出电压,再换算为相位差,还可以与标准移相器进行比较的比较法(零示法)等方法。 怎么用示波器来测量相位差? 应用示波器测量两个同频正弦电压之间的相位差的方法很多,本节介绍具有实用意义的直接比较法。将u1、u2分别接到双踪示波器的Y1通道和Y2通道,适当调节扫描旋钮和Y增益旋钮,使荧光屏显示出如图2.42所示的上、下对称的波形。 比较法测量相位差 设u1过零点分别为A、C点,对应的时间为t A、t C;u2过零点分别为B、D点,对应的时间为t B、t D。正弦信号变化一周是360°,u1过零点A比u2过零点B提前t B-t A出现,所以u1超前u2的相位。 u1超前u2的相位,即u1与u2的相位差为 (2.56) T为两同频正弦波的周期; ΔT为两正弦波过零点的时间差。 数字式相位计的结构与工作原理是什么?

三数字相位计框图 将待测信号u1(t)和u2(t)经脉冲形成电路变换为尖脉冲信号,去控制双稳态触发电路产生宽度等于ΔT的闸门信号以控制时间闸门的启、闭。晶振产生的频率为fc的正弦信号,经脉冲形成电路变换成频率为fc的窄脉冲。 在时间闸门开启时通过闸门加到计数器, 得计数值n,再经译码,显示出被测两信号的相位差。这种相位计可以测量两个信号的“瞬时”相位差,测量迅速,读数直观、清晰。 数字式相位计称做“瞬时”相位计,它可以测量两个同频正弦信号的瞬时相位,即它可以测出两同频正弦信号每一周期的相位差。 基于相位差转换为电压方法的模拟电表指示的相位计的测量原理是什么? 如图2.44所示,利用非线性器件把被测信号的相位差转换为电压或电流的增量,在电压表或电流表表盘上刻上相位刻度,由电表指示可直读被测信号的相位差。转换电路常称做检相器或鉴相器。常用的鉴相器有差接式相位检波电路和平衡式相位检波电路两种。 数字相位计框 图

用示波器测量信号的电压及频率

用示波器测量信号的电压及频率 长江大学马天宝应物1203班 1、示波器和使用 -【实验目的】 1.了解示波器的大致结构和工作原理。 2.学习低频信号发生器和双踪示波器的使用方法。 3.使用示波器观察电信号的波形,测量电信号的电压和频率。 【实验原理】 一、示波器原理 1.示波器的基本结构 示波器的种类很多,但其基本原理和基本结构大致相同,主要由示波管、电子放大系统、扫描触发系统、电源等几部分组成,如图4.9-1所示。 (1)示波管 示波管又称阴极射线管,简称CRT,其基本结构如图4.9-2所示,主要包括电子枪、偏转系统和荧光屏三个部分。 电子枪:由灯丝、阳极、控制栅极、第一阳极、第二阳极五部分组成。灯丝通电后,加热阴极。阴极是一个表面涂有氧化物的金属圆筒,被加热后发射电子。控制栅极是一个顶端有小孔的圆筒,套在阴极外面,它的电位相对阴极为负,只有初速达到一定的电子才能穿过栅极顶端的小孔。因此,改变栅极的电位,可以控制通过栅极的电子数,从而控制到达荧光屏的电子数目,改变屏上光斑的亮度。示波器面板上的“亮度”旋钮就是起这一作用的。阳极电位比阴极高得多,对通过栅极的电子进行加速。被加速的电子在运动过程中会向四周发散,如果不对其进行聚焦,在荧光屏上看到的将是模糊一片。聚焦任务是由阴极、栅极、阳极共同形成的一种特殊分布的静电场来完成的。这一静电场是由这些电极的几何形状、相对位置及电位决定的。示波器面板上的“聚焦”旋钮就是改变第一阳极电位用的,而“辅助聚焦”就是调节第二阳极电位用的。 偏转系统:它由两对互相垂直的平行偏转板——水平偏转板和竖直偏转板组成。只有在偏转板上加上一定的电压,才会使电子束的运动方向发生偏转,从而使荧光屏上光斑的位置发生改变。通常,在水平偏转板上加扫描信号,竖直偏转板上加被测信号。. 荧光屏:示波管前端的玻璃屏上涂有荧光粉,电子打上去它就会发光,形成光斑。荧光材料不同,发光的颜色不同,发光的延续时间(余辉时间)也不同。玻璃屏上带有刻度,供测量时使用。 (2)电子放大系统 为了使电子束获得明显的偏移,必须在偏转板上加上足够的电压。被测信号一般比较弱,必须进行放大。竖直(Y轴)放大器和水平(X轴)放大器就是起这一作用的。 (3)扫描与触发系统 扫描发生器的作用是产生一个与时间成正比的电压作为扫描信号。触发电路的作

信号检测电路设计原理

信号检测电路设计原理 信号检测电路如图3 (a) ,波形如图3 (b) 所示: 图3 (a) 中LM393 等组成两个施密特电压比较器,用于分别检测两路交流信 号的零点。将两种近似正弦波的电压信号变成方波信号,如图3 (b) 中波形 A、B、C、D、所示。由D1 、D2 触发器(一片74HC74) 组成的电路,在单片 机P1. 0 、P1. 1 的控制下完成对周期信号的检测。当P1. 0 = 0 时, Q1 = Q2 = 0 ;当P1. 0 由0 转为1 ,且B 点信号由0 变为1 时,D1 翻转, Q1 = 1 ,此时Q2 仍为 0 ,当B 点信号第二次由0 变为1 时,D1 再次翻转, Q1 = 0 ,同时D2 也翻转, Q2 = 1 。80C31 查询到P1. 1 = 1 时, 让P1. 0 = 0 ,完成一次检测。其波形如图3 (b) 中B、P1. 0 、T、Q2 。这种电路进检测上升沿,提高了检测精度。由D3 、D4 触发器(一片74HC74) 组成的电路,在单片机P1. 0 控制下完成时间差信号检测。 当P1. 0 = 0 时,电路不工作, Q3 = Q4 = 0 ;当B 点信号由0 变为1 时, Q3 = 1 ,Q4 仍为0 ;当D 点信号由0 变为1 时, Q4 = 1 ,同时Q3 被清零,从而检测出两 信号的时间差。波形如图3 (b) 中B、D、P1. 0 、Δt 。根据相位差的定 义和传感器的错半齿安装,两路信号的相位差Δ 与周期T 及时间差Δt 的关系为:Δ = (360°/ T) Δt - 180°。利用80C31 内部的T0 、T1 定时器可以较准确的求出T、Δt 。具体为:将T0 、T1 设成内部计 数器形式( C/ T = 0) ,工作在方式2 状态,GA T E = 1 , TR = 1 ,这样当TN T0 、TN T1 = 1 时T0 、T1 计数,采用12MHz 晶振,每计一次数时间为1μs。 在中断服务中,用R7 ,R5 记录T0 、T1 中断次数,以扩展计数范围,最后求得T 和Δt :Δt = R7 乘以28 + ( TL 0)T = R5 乘以28 + ( TL ) tips:感谢大家的阅读,本文由我司收集整编。仅供参阅!

测量交流信号的频率

#include #include #define uint unsigned int #define uchar unsigned char sfr P1ASF = 0X9D; //P1口选择功能寄存器 sfr ADC_CONTR= 0XBC; //ADC控制寄存器 sfr ADC_RES = 0XBD; //ADC转换结果寄存器高sfr ADC_RESL = 0XBE; //ADC转换结果寄存器低sbit rs=P1^0; sbit en=P1^1; float result,max,v=5.00,result1,result2; unsigned long q=0,fre; uchar r[10],sta,p=0,i; /*延时函数*/ void delay(uint z) { uint x,y; for (x=0;x

//rw=0; rs=1; P0=shuju; delay(5); en=1; delay(5); en=0; } void write_fre(unsigned long pin) //写入频率函数{ uchar ge ,shi,bai,qian,wan,shiwan; ge=pin%10/1; shi=pin%100/10; bai=pin%1000/100; qian=pin%10000/1000; wan=pin%100000/10000; shiwan=pin%1000000/100000; write_data(shiwan+48); delay(5); write_data(wan+48);

信号检测与处理电路

7. 信号检测与处理电路 (文字材料) 本章概要 本章首先介绍了信号检测系统的基本原理及信号检测与处理电路在系统中的作用,然后分别介绍了系统中常用的测量放大器、隔离放大器、RC 有源滤波器和电压比较器的工作原理。 本章内容的组成及结构 信号检测系统的基本组成 测量放大器:三运放测量放大器 隔离放大器:光电耦合隔离放大器、变压器耦合隔离放大器 滤波器的功能 滤波器的一般概念 滤波器的分类 滤波器的主要参数 一阶有源低通滤波器 二阶有源低通滤波器 一阶有源高通滤波器 二阶有源高通滤波器 带通滤波器和带阻滤波器 比较器的功能 比较器的基本概念 比较器的类型 比较器的主要参数 零电平比较器 非零电平比较器 反相输入迟滞比较器 同相输入迟滞比较器 学习目标 (1)熟练掌握测量放大器的电路结构及工作原理; (2)熟练掌握滤波器的基本知识; (3)熟练掌握一阶有源低通及高通滤波器的特性分析; (4)熟练掌握电压比较器的特性和分析方法; (5)理解隔离放大器的结构及基本工作原理; (6)了解信号测量系统的基本组成。 重难点指导 重点: 低通滤波器分析 高通滤波器分 有源滤波器 单门限比较器分析 比较器 信号检测与处理电路 检测系统中的放大电路 迟滞比较器分析

(1)三运放测量放大器的电路结构及工作原理; (2)一阶有源低通及高通滤波器的特性分析; (3)电压比较器的组成和特性分析。 难点: (1)运算放大器的非线性分析方法; (2)如何绘制比较器电路的传输特性。 本章导学 1. 信号检测系统 基本组成:传感器(或电极、互感器等)、放大器、滤波器、采用-保持器和A/D转换器等自然界的信号分成两大类:电类和非电类 电类:如心电信号、脑电信号,微弱信号可以通过电极引入测量系统。而电力系统的信号都是大电压和大电流,必须通过互感器(电压互感器或电流互感器)转化为小信号再引入测量电路。 非电类:如压力、速度、温度等,这些信号需要通过传感器将非电信号转化为电信号,引入测量系统。 在信号处理电路中,后续测量系统应根据实际情况合理选择电路组成。 2. 检测系统中的放大电路 1)测量放大器 测量放大器又称仪表放大器,具有高增益、高输入阻抗、高共模抑制比、直接耦合放大电路。电路采用三运放放大电路,用于热电偶、应变电桥、流量计、生物电测量等有交大共模干扰的直流缓变信号的检测。 2)隔离放大器 隔离放大器是一种特殊的测量放大电路,器输入回路和输出回路是电气绝缘的,但信号相通。 隔离的目的:安全性和抗干扰 3. 有源滤波器 1)滤波器的功能:允许一部分频率的信号顺利通过,而对另一部分频率的信号进行抑制。 2)滤波器性能的描述方法:滤波器的性能常用传递函数、幅频特性和一些参数来描述。 3)滤波器的分类 a.按被处理信号是连续的还是离散的,可分为模拟滤波器和数字滤波器。 b.按滤波器中有无使用有源器件,可分为无源滤波器和有源滤波器两种。 无源滤波器:只使用无源元件(R、L、C)组成。它主要利用电感或电容的电抗随信号频率变化而改变来设计。这种滤波器的优点是电路简单、可靠;可以在大电流或高电压下使用;不需要工作电源。缺点是对通带内的信号有损耗;负载对滤波特性影响较大,所以不容易通过级连获得更好的滤波特性;当使用电感组成滤波器时还有体积大、重量重、容易产生电磁干扰等问题。 有源滤波器:由无源的滤波网络(一般是RC网络)和有源器件(如集成运算放大器)组成。这种滤波器的优点是可以对通带内的信号进行放大;负载对滤波特性影响小,容易通过级连获得更好的滤波特性;体积小、重量轻。但这种滤波器需要直流电源供电,可靠性也比无源滤波器低;不适合在大电流、高电压和高频场合下使用。

小目标微弱信号检测电路设计

小目标微弱信号检测电路设计 在靶场测试领域,天幕靶是一种常用的光电触发设备。既可以用作区截装置测量弹丸的飞行速度,也可采用多幕交汇技术测量弹丸的着靶坐标,还可以作为其他设备的测试触发装置。但现有天幕靶灵敏度低、视场小、抗干扰能力差。本文设计了一种小目标微弱信号检测电路,通过光电二极管进行光电信号转换,并且设计了信号放大电路与滤波处理, 有效地滤除了干扰信号, 提高了天幕靶抗干扰能力。 硬件设计 整体流程图如下图所示,光电探测器将接收到的光信号转换为电信号,并通过前置放大电路与主放大电路进行信号放大,电压比较器可以将电信号转换成脉冲,经过滤波电路将干扰信号去除后送入单片机的中断控制口,单片机产生中断,处理中断程序,然后会有脉冲输出,脉冲经过信号输出电路进行整形,由于输出信号需要进行长距离的传输,因此需要驱动电路将信号驱动。图1为整体设计硬件原理图。

图1 整体设计硬件原理图 光电转换电路 利用可见光探测器单元硅PIN光电二极管作为光电转换期间来完成光信号到电信号的转换。这种器件体积小而且响应速度快,被广泛的应用于光电检测。光电二极管是半导体产品,当它受到光照时会产生电流或电压。它们没有内置增益,但与其他类型的光子探测器相比却有着更大的动态范围。本电路设计采用20只光电二极管连接起来形成阵列。图2为其中的两路设计,其余各路连接方法相同。其中LM7812为电源稳压芯片,保证输出稳定的电压,R1、R2为采样电阻,电容C5与C6主要用于交流耦合。

图2 光电转换电路 前置放大电路 光电前置放大电路如图3所示, 电路在光电转换电路和放大器的输出之间加一个由R3和C7组成的RC滤波电路, 这样就限制了放大器输出信号的带宽, 滤掉了经过放大的噪声和放大器本身的噪声。电容C8 用来补偿RC滤波环节引起的相角滞后,电容C9用来补偿放大电路输入端的复合电容引起的相角滞后, 控制噪声增益的峰值。

实验二 相位差测量

实验二相位差测量 一、实验目的 1、掌握将相位差转换为电压的原理。 2、掌握脉冲电压的脉宽与电压平均值成正比的原理。 3、掌握磁电系仪表的基本读数是电流(电压)的平均值。 4、了解硬件电路的设计方法和基本的硬件调试方法。 二、实验类型 综合型 三、实验仪器 四、实验原理 1、原理图

Y 图1 相位表原理图 2、 电路原理 此电路可以检测-180--+180的相位差。两路输入信号Y1、Y2经整形后成为两路与原信号同频率同相位的方波。方波送入异或门CD4070,CD4070的输出是电压脉冲,脉冲宽度与输入信号的相位差绝对值成正比。用磁电式仪表测CD4070的输出电压(根据磁电系仪表的原理,测量值为电压的平均值),测量值Uo 与脉冲宽度成线性关系。因此可得Uo 与输入信号的相位差绝对值成正比。输入信号的超前、滞后由LED 显示,当Y1超前Y2时,LED1亮;当Y1滞后Y2时,LED2亮。 3、 相位差与时间差的关系 360?=T Δt φ 4、 脉冲电压的平均值与脉宽成正比 T U a dt U T dt u T U H a H T av ===??0 011 其中:a ——脉宽。T ——脉冲电压周期。U H ——脉冲电压高电平。 5、 磁电系电压表的读数是电压的平均值。 五、实验内容和要求 1、内容和要求

搭出相位差测量电路,并在具体电路上验证,调系数。具体要求:掌握基本的硬件插接技术,布线必须正确、接触良好,其次要求布线合理、清晰、美观。 2、测量数据 构造如图2所示的电路,信号发生器产生频率为100Hz 的正弦波,调节RC 参数可以改变Y1(电源电压)与Y2(电阻电压,与回路电流同相位)之间的相位差,记为0j 。Y1和Y2作为相位表的输入信号,用磁电系电压表(或万用表测量)测量相位表的输出电压,当输出电压测出后,脉宽a 就可以算出来,a 算出来后,相位差也就可以算出来,记为1j ,比较0j 和1j 。记录发光二极管(LED )的状态,用以确定两路正弦信号的相位关系(超前/滞后)。 表1 阻抗角测量记录表格 Vcc 图2 用相位表测量阻抗角 3、硬件调试方法 制作硬件时,若输出电压值或LED 的状态不正确,需调试硬件电路,找出错误并改正。建议采用以下方法调试硬件: (1)用电压表测量各点电压,或者用示波器观察各点波形。

用虚拟相关法测量两个同频信号的相位差.

虚拟相关法相位差计的设计 摘要 传统测量仪器功能单一,多功能虚拟仪器是现代仪器技术的发展方向。利用Labview设计一种利用虚拟相关法测量相位差计的虚拟仪器,该仪器以测量两个同频正弦波的相位差为基本功能,具备了测量信号频率,显示信号波形、相位差和产生标准信号等功能,体现了虚拟仪器高集成度,一机多用的特点。 本题目属于应用类,设计主要内容利用互相关分析法实现相位差检测,在虚拟仪器设计平台上仿真实现,结合原理和公式进行数据计算分析,充分利用了Labview的性能。 关键词:相关法、相位差,虚拟仪器

目录 虚拟相关法相位差计的设计 (1) 1 引言 (3) 2 相位差测量仪的概述 (3) 2.1 相位差的定义 (3) 2.2 相位差测量仪的应用 (3) 3 Labview软件简介 (4) 3.1 Labview概述 (4) 3.2 Labview的应用 (5) 3.2.1 Labview应用于测试于测量 (5) 3.2.2 Labview应用于实验室研究与自动化 (5) 4 相位差测量方法原理简介 (6) 4.1 相关法相位差测量相位差原理 (6) 5 相位差计设计 (7) 5.1 设计要求 (7) 5.3 Labview平台下软件的实现 (8) 5.4 子模块(VI)设计 (10) 5.5 相位差计设计测试结果 (12) 结论 (16)

参考文献 (17) 1 引言 信号的相位差测量在电工技术,工业自动化,智能控制,通讯及电子技术等许多领域都有着广泛的应用。传统电子模拟式相位差测量采用乘法器法,二极管鉴相法等,需要完成对应的硬件电路。电路的温漂,噪声级干扰信号,都会导致测量结果产生误差。因此,传统的相位差检测方法正逐渐被软件测量方法所替代,通过软件算法来消除温漂、噪声及干扰信号的影响,使测量结果更加精确。 2 相位差测量仪的概述 2.1相位差的定义 相位差:两个频率相同的交流电相位的差叫做相位差,或者叫相差。这两个频率相同的交流电,可以是两个交流电流,可以是两个交流电压,可以是两个交流电动势,也可以是这三种量中的任何两个。 例如研究加在电路上的交流电压和通过这个电路的交流电流的相位差,如果电路是纯电阻,那么交流电压和交流电流的相位差等于零,也就是说交流电压等于零的时候,交流电流也等于零,交流电压达到最大值时,交流电流也将达到最大值。这种情况叫做同相位,或者叫做同相。如果电路含有电感和电容,交流电压和交流电流一般是不等于零的,也就是说一般是不同相的,或者电压超前于电流,或者是电流超前于电压。 加在晶体管放大器基极上的交流电压和从集电极输出的交流电压,这两者的相位差正好等于180?,这种情况叫做反相位,或者叫做反相。 2.2 相位差测量仪的应用 信号的相位差测量仪在电工技术,工业自动化,智能控制,

交流小信号电压测量电路

小信号精密整流滤波电路设计报告 一、设计分析 在现代共农业生产和日常生活中,广泛地使用着交流电。主要原因是与直流电相比,交流电在产生、输送和使用方面具有明显的优点和重大的经济意义。例如在远距离输电时,采用较高的电压可以减少线路上的损失。对于用户来说,采用较低的电压既安全又可降低电器设备的绝缘要求。这种电压的升高和降低,在交流供电系统中可以很方便而又经济地由变压器来实现。此外,异步电动机比起直流电动机来,具有构造简单、价格便宜,运行可靠等优点。在一些非用直流电不可的场合,如工业上的电解和电镀等,也可利用整流设备,将交流电转化为直流电。交流电的电压(或电流)随时间作周期性变化。实际上,所谓交流电包括各种各样的波形,如正弦波、方波、锯齿波等。本设计中,我们主要面向正弦交流电。其原因在于,正弦交流电在工业中得到广泛的应用,它在生产、输送和应用上比起直流电来有不少优点,而且正弦交流电变化平滑且不易产生高次谐波,这有利于保护电器设备的绝缘性能和减少电器设备运行中的能量损耗。另外各种非正弦交流电都可由不同频率的正弦交流电叠加而成(用傅里叶分析法),因此可用正弦交流电的分析方法来分析非正弦交流电。 二、原理分析 整流和滤波 整流的作用是把交流电转换成直流电,严格地讲是单方向大脉动直流电。 滤波:单相整流电路整流后的直流电为脉动直流电,其中仍包含较多的交流成分,为保证电源质量需要滤除其中的交流成分,保留直流成分,将脉动变化的直流电变为平滑的直流电。 1. 全波整流 由于二极管的伏安特性在小信号时处于截止或特性曲线的弯曲部分,一般利用二极管的单向导电性来组成整流电路,在小信号检波时输出端将得不到原信号(或使原信号失真很大)。如果把二极管置于运算放大器组成的负反馈环路中,就能大大削弱这种影响,提高电路精度。 如图1.1是同相输入精密全波整流电路,它的输入电压υI与输出电压υo有如

一种独特的脑电信号放大检测电路设计

2008-04-12 11:23 一种独特的脑电信号放大检测电路设计 作者:时间:2008-03-03 来源: 1 引言 脑电信号(EEG]是由脑神经活动产生并且始终存在于中枢神经系统的自发性电位活动,含有丰富的大脑活动信息,是大脑研究、生理研究、临床脑疾病诊断的重要手段。通过对脑电信号进行记录,以提供临床数据和诊断的依据。因此脑电信号的提取具有非常重要的临床意义。 2 设计时常遇到的技术困难 (1)脑电信号十分微弱,一般只有50μV左右,幅值范围为5μV~100 μV。因此它要求放大增益比一般仪器要高得多; (2)脑电信号频率低,其范围一般在0.5 Hz~35Hz,这使得放大器的低频截止的选择非常困难,当受到尖峰脉冲干扰或导联切换的时候,放大器容易出现堵塞现象; (3)存在工频50 Hz和极化电压等强大的背景干扰。其中工频50Hz干扰主要以共模形式存在,幅值较大,所以脑电信号放大器必须具有很高的共模抑制比。而极化电压干扰的存在使得脑电放大器的前级增益不能过大; (4)由于人体是一个高内阻信号源,内阻可达几十千欧乃至几百千欧,而且它的内阻抗既易于变化,又可能各支路不平衡,所以,脑电信号放大器的输入阻抗必须在几兆欧以上。 可见,要设计出高质量的脑电信号放大器,要求前置放大器必须具有输入阻抗高、共模抑制比高(CMBR)、噪声低、非线性度小、抗干扰能力强以及合适的频带和动态范围等性能,这使得放大器的设计存在较大的困难,但这也是整个脑电信号采集系统设计能否成功最重要的关键性的一个环节。 3 信号放大检测电路设计 脑电信号放大检测电路如图1所示。由该图可知,该部分主要由缓冲级、前置差分放大电路、50 Hz 工频陷波电路、电压放大电路、低通滤波器电路、电平调节电路、线性光耦合电路等组成。 在人体和脑电前置放大器之间设置缓冲级主要是为了实现更高的输入阻抗,电平调节电路是为了满足A/D转换器输入量程的需要。 3.1 前置差分放大电路 3.1.1 电路组成及特性 前置放大是整个脑电图仪的关键环节。本设计在“三运放”的基础上,通过采用新型的电路结构,巧妙地利用了仪器放大器共模抑制比与增益的关系(见表1),并结合阻容耦合电路、共模驱动技术、浮地跟踪电路等,可以在抑制直流干扰的情况下提供较高的共模抑制比,具有对外围无源器件参数不敏感的特点。具体电路设计见图2。

相关文档
最新文档