分频器22

分频器22
分频器22

《消费类电子产品的设计与制作》课程设计(论文)

题目:《数字频率计的设计与制作》

学院:电气与电子信息工程学院

专业名称:电子信息工程

学号:200840210122

学生姓名:熊望意

指导教师:夏术泉、王海华

课设时间:2010-2011学年第1学期第9,11,12 周

1 课程设计题目

数字频率计的设计与制作

2 课程设计任务与要求

2.1基本任务

主要设计放大器,电源,分频电路以及计数器四大部分,着重设计放大器部分。

2.2基本要求

1.按每3人分组,要求方案中每组设计重点不同。具体是第1-2组重点设计放大器电路,第3-6组重点设计计数电路,第7-8组重点设计显

示电路,第9-10组重点设计小信号放大电路和稳压电源电路,各组设

计一种实现方案。我们在此次设计中重点讲述放大器的相关的工作原

理以及它的改造。

2.硬件制作实物完成情况,演示设计与调试的结果。

3.设计方案与说明书。

4.课程设计报告或总结。

3 设计过程

3.1设计原理

在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。

数字频率计的主要功能是测量周期信号的频率。频率是单位时间(1S)内信号发生周期变化的次数。如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。

3.2 设计技术指标

设计并制作出一种数字频率计,其技术指标如下:

1.频率测量范围: 10 ~ 9999Hz 。

2.输入信号波形:任意周期信号。输入电压幅度 >300mV 。

3.电源: 220V 、 50Hz

3.3系统框图

从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图:

图1 数字频率计框图

3.4 设计方案

(1)放大器部分——信号放大(外加波形整形)

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进

行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大

与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,

波形整形可以采用施密特触发器。

放大器的设计

我们常用的放大器有单运放UA741,双运放LM358,四运放LM324。

在UA741工作的时候,如果信号太强就有可能会把芯片堵塞,在输入

信号的负半周,输出为0 ,即使输入回到正半周了以后也不会有信号

输出,为了克服这个问题,我们在原有的电路上做了一点小的变动。

即在原有的UA741放大器的基础上,再在正输入端外加一个与R1等大

的电阻R3和一个+5V 的电压,目的是当有负信号通过放大器时,使的

被测信号

波形整体向上平移了,就不会使其产生堵塞的现象。被测信号通过741组成的运算放大器放大20倍后送施密特触发器整形,得到能被计数器有效识别的矩形波输出。通过由74HC11组成的控制门送计数器计数。

为了防止输入信号太强损坏集成运放,可以在运放的输入端并接两个保护二极管。

……

(2)电源与整流稳压电路

框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。图中,稳压电源采用7805 来实现,电路简单

可靠,电源的稳定度与波纹系数均能达到要求。

(3)全波整流与波形整形电路

本频率计采用市电频率作为标准频率,以获得稳定的基准时间。

按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

图2 全波整流与波形整形电路的输出波形

(4)分频器

分频器的作用是为了获得1S的标准时间。电路首先对图2所示的

100Hz 信号进行100分频得到如图3(a )所示周期为1S 的脉冲信号。

然后再进行二分频得到如图3(b )所示占空比为50%脉冲宽度为1S

的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关

图3 分频器的输出波形

控制门,可以获得在1S 时间内通过控制门的被测脉冲的数目。

对100 Hz 全波整流输出信号, 由7位二进制计数器74HC4024组

成的100进制计数器进行分频。计数脉冲下降沿有效。在74HC4024的 Q 7、

Q 6、Q 3端通过与门加入反馈清零信号。当计数器输出为二进制数1100100

(十进制数为100)时,计数器异步清零,实现100进制计数。为了获

得稳定的分频输出,清零信号与输入脉冲“与”后再清零,使分频输出

脉冲在计数脉冲为低电平时能保持高电平一段时间(10 ms )。

(5) 控制门

控制门用于控制输入脉冲是否送计数器计数。 它的一个输入端接标

准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。

当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时

进行计数。

(6)计数器

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率

为9999Hz ,应采用4位十进制计数器。可以选用现成的10进制集成计

数器。在这里我们频率计数器由两块双十进制计数器74HC4518组成,

(b )

(a

)

最大计数值为9999 Hz。由于计数器受控制门控制,每次计数只在JK

触发器Q端为高电平时进行。当JK触发器Q端跳变至低电平时,Q非

端由低电平向高电平跳变,此时,8D锁存器74HC374(上升沿有效)

将计数器的输出数据锁存起来送显示译码器。计数结果被锁存以后。

即可对计数器清零。由于74HC4518为异步高电平清零,所以将JK触

发器的Q非同100 Hz脉冲信号“与”后的输出信号作为计数器的清零

脉冲。由此保证清零是在数据被有效锁存一段时间(10 ms)以后再进

行。

(7)锁存器

电路中采用双JK触发器74HC109中的一个触发器组成T触发器。

它将分频输出脉冲整形为脉宽为1 s、周期为2 s的方波。从触发器Q

端输出的信号加至控制门,确保计数器只在1 s的时间内计数。从触发

器 Q非端输出的信号作为数据寄存器的锁存信号。

在确定的时间(1S)内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器的作用是通过触发脉冲控制,

将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的8位

并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。

(8)显示译码器与数码管

显示译码器的作用是把用BCD码表示的10进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。选用显示译码器时其输出

方式必须与数码管匹配。

4 实物制作与调试

1.所需仪器设备

所需仪器设备有示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪和直流稳压电源。

2.数字电路系统设计与制作的一般方法

通过对前面两个具体数字电路系统的设计与制作,我们对数字电路设计有了一定的认识。数字电路系统的设计与教材中讨论的组合逻辑电路的设计有较大的区别。组合逻辑电路与一般时序逻辑电路的设计是根据设计任务要求,用真值表、状态表求出简化的逻辑表达式,画出逻辑图、逻辑电路,用一般的集成门电路或集成触发器电路来实现。而本章设计的数字

电路系统具有复杂的逻辑功能,难以用真值表、逻辑表达式来完整地描述其逻辑功能,用前面介绍的方法来设计,显然是复杂而困难的。本设计最关心的问题是通过对各种基本数字电路的认识与了解,利用现有的数字电路器件来设计与实现具有各种复杂逻辑关系的数字系统。

(一)数字电路系统设计的一般方法

数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路、脉冲产生电路和电源等。

输入电路主要作用是将被控信号加工变换成数字信号,其形式包括各种输入接口电路。比如在本章设计制作的数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。有些模拟信号则通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。

控制电路的功能是将信息加工运算并为系统各部分提供所需的各种控制。比如本章设计制作的多路可编程控制器,其定时器即为一控制电路。正是在它的作用下,计数脉冲才按一定的时间周期(定时器的定时时间)一

组一组地送给地址计数器,形成时间控制。在数字频率计中,从JK触发器两个反相输出端输出的信号也是控制信号,它既控制了被测信号送至计数器的时间,同时又控制了锁存器在计数完毕后对数据进行锁存。产生这种信号输出的电路即为控制电路。数字电路系统中,各种逻辑运算、判别电路等,都是控制电路,它们是整个系统的核心。设计控制电路是数字系统设计的最重要的内容,必须充分注意不同信号之间的逻辑性与时序性。

输出电路是完成系统最后逻辑功能的重要部分。数字电路系统中存在各种各样的输出接口电路。其功能可能是发送一组经系统处理的数据,或显示一组数字,或将数字信号进行转换,变成模拟输出信号。比如数字频率计的显示译码与数码管电路,多路可编程控制器的并行移位寄存器及驱动电路等,都属于系统的输出电路。

设计输出电路,必须注意与负载在电平、信号极性、拖动能力等方面进行匹配。

时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统

都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的555多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。

电源为整个系统工作提供所需的能源,为各端口提供所需的直流电平。在数字电路系统中,TTL电路对电源电压要求比较严格,电压值必须在一定范围内。CMOS电路对电源电压的要求相对比较宽松。设计电源时,必须注意电源的负载能力,电压的稳定度及波纹系数等。

(二)确定总体设计方案

根据系统逻辑功能画出系统的原理框图,将系统分解。确定贯串不同方框间各种信号的逻辑关系与时序关系。方框图应能简洁、清晰地表示设计方案的原理。)

(三)绘制单元电路并对单元电路仿真

选择合适的数字器件,用电子CAD软件绘出各逻辑单元的逻辑电路图。标注各单元电路输入输出信号的波形。原理图中所用的元件应使用标准标号;电路的排列一般按信号流向由左至右排列;重要的线路放在图的上方,次要线路放在图的下方,主电路放在图的中央位置;当信号通路分开画时,在分开的两端必须作出标记,并指出断开处的引出与引入点。然后利用电子CAD软件中的数字电路仿真软件对电路进行仿真测试,以确定电路是否准确无误。当电路中采用TTL、CMOS、运放、分立元件等多种器件时,如果采用不同的电源供电,则要注意不同电路之间电平的正确转换,并绘制出电平转换电路。

(四)分析电路

可能设计的单元电路不存在任何问题,但组合起来后系统却不能正常工作,因此,必须充分分析各单元电路,尤其是对控制信号要从逻辑关系、正反极性、时序几个方面进行深入考虑,确保不存在冲突。在深入分析的基础上通过对原设计电路的不断修改,获得最佳设计方案。

(五)完成整体设计

在各单元电路完成的基础上,用电子CAD软件将各单元电路连接起来,画出符合软件要求的整机逻辑电路图。

(六)逻辑仿真

整体电路设计完毕后,再次在仿真软件上对整个试验系统进行逻辑仿真,验证设计。

3. 数字电路系统的安装与调试

数字试验系统整体电路设计完毕后,还必须通过试验板的安装与调试,纠正设计中因考虑不周出现的错误或不足。检测出实际系统正常运行的各项技术指标、参数、工作状态、输出驱动情况、动作情况与逻辑功能。因此,系统装调工作是验证理论设计,进一步修正设计方案的重要实践过程。

1)制作PCB

如果整体电路是利用电子CAD软件按其要求绘制的,则可以利用该软件绘制PCB图,制作出印刷电路板。采用PCB制作数字电路系统可以保证试验系统工作可靠,减少不必要的差错,大大节省电路试验时间。 2)检测器件

在将器件安装到PCB上之前,对所选用的器件进行测试是十分有必要的,它可以减少因器件原因造成的电路故障,缩短工作时间。

A. 器件检测用数字集成电路检测仪对所要用的IC进行检测,以确保每个器件完好。

B 电路连接

在自制电路板上将IC插座及各种器件焊接好;装配时,先焊接等小器件,最后固定并焊接变压器等大器件。电路连接完毕后,先不插IC。

C 电源测试

将与变压器连接的电源插头插入220 V电源,用万用表检测稳压电源的输出电压。输出电压的正常值应为+5 V。如果输出电压不对,应仔细检查相关电路,消除故障。稳压电源输出正常后,接着用示波器检测产生基准时间的全波整流电路输出波形。正常情况应观测到如图13.5(a)所示波形。

D 基准时间检测

关闭电源后,插上全部IC。依次用示波器检测由U

1(74HC4024)与U

3A

组成的基准时间计数器与由U

2A

组成的T′触发器的输出波形,并与图3

所示波形对照。如无输出波形或波形形状不对,则应对U

1、U

3

, U

2

各脚

的电平或信号波形进行检测,消除故障。

E 输入检测信号

从被测信号输入端输入幅值在1 V左右,频率为1 kHz左右的正弦信号,如果电路正常,数码管可以显示被测信号的频率。如果数码管没有显示,或显示值明显偏离输入信号频率,则做进一步检测。

F 输入放大与整形电路检测

(74HC14)的输出波形。正常情况下,可以用示波器观测整形电路U

1A

观测到与输入频率一致、信号幅值为5 V左右的矩形波。

G 控制门检测

(74HC11)输出信号波形。正常时,每间隔1 s时间,检测控制门U

3C

可以在荧屏上观测到被测信号的矩形波。如观测不到波形,则应检测控制门的两个输入端的信号是否正常, 并通过进一步的检测找到故障电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测计数器电路。

H 计数器电路的检测

依次检测4个计数器74HC4518时钟端的输入波形。正常时,相邻计数器时钟端的波形频率依次相差10倍。正常情况时,各电平值或波形应与电路中给出的状态一致。如频率关系不一致或波形不正常,则应对计数器和反馈门的各引脚电平与波形进行检测, 通过分析找出原因,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测锁存器电路。

I 锁存电路的检测

依次检测74HC374锁存器各引脚的电平与波形。正常情况时,各电平值应与电路中给出的状态一致。其中,第11脚的电平每隔1 s跳变一次。如不正常,则应检查电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测锁存器电路。

J 显示译码电路与数码管显示电路的检测检测显示译码器74HC4511各控制端与电源端引脚的电平,同时检测数码管各段对应引脚的电平及公共端的电平。通过检测与分析找出故障。

3)安装元器件

将各种器件安装到PCB上是一件不太困难的工作。安装时,集成电路最好通过插座与电路板连接,这便于不小心损坏器件后进行更换。数字电路的布线一般比较紧密、焊点较小,在焊接过程中注意不要出现挂锡或虚焊。

4)电路调试

电路的调试可分两步来进行,一是单元电路的调试,然后是总调。只有通过调试使单元电路到达预定要求,总调才能顺利进行。调试时应注意: (1)充分理解电路的工作原理和电路结构,对电路输入输出量之间的逻辑关系,正常情况下信号的电平、波形、频率等做到心中有数。据此设计出科学的调试方法,包括选用的仪器设备,调试的步骤、每个步骤中检测的部位、如何人为设置电路工作状态进行测试等。

(2)可以先进行静态测量,确定IC的电源、地、控制端的静态电平等直流工作状态是否正常后再进行动态测量,如果电路装配工艺比较好,也可以在动态测量发现问题后再进行静态测量。进行静、动态测量时应尽量保证测试条件与电路的实际工作状态相吻合。

(3)在寻找故障时,可以按信号的流程对电路进行逐级测量,或由前往后, 或由后向前;也可以根据电路的特点从关键部位入手进行;或根据通电连接后系统的工作状态直接从电路的某一部分着手进行。 (4)明确每次测量的意义,要了解什么,希望解决什么问题,一定要做到心中有数。从测量中掌握的各种数据、现象、观测到的信号波形等入手,通过分析、试验(调整)再开始新的测量, 如此循环往复进行,就可以发现与排除故障,达到预定的设计目标。

(5)在对电路进行检测、试验或调整的过程中,应掌握一些实用的检测方法,如对换法(将检测好的器件或电路代替怀疑有故障的器件或电路)、对比法(通过测量将故障电路与正常电路的状态、参数等进行逐项对比)、对分法(把有故障的电路根据逻辑关系分成两部分,确定是哪一部分有问题,然后再对有故障的电路再次对分,直至找到故障所在)、信号注入法(根据电路的逻辑关系,人为设置输入端口电平或注入数字信号,观测电路的响应,判断故障所在)、信号寻迹法(从信号的流向入手,通过在电路中跟踪寻找信号,找出故障所在)。在数字电路中,由于不存在大功率、大电流、高电压的工作状态,电路故障一般都是装

配过程中出现的挂锡、虚焊、元件插错等原因造成的,除非IC插反了方

向或电源接错了极性,一般情况下,有源器件损坏的可能性较小。

5 结论

在此次课程设计中,遇到的问题如下:

1)在所用的所有芯片中,不是每一个芯片引脚都会用上,把这些没有用上的引脚做悬空处理的话,会对电路造成一定的磁干扰,使得实验误差比较大。

为了有效防止这种状况的发生,我们应该将所有的没有用上的引脚都接地,这样处理之后就不会对电路造成太大的影响。

2)数码管的光线亮度太强,有可能会把数码管烧坏。整个电路通过4511把将5伏的电压直接加在了数码管上面,这样数码管所承受的电压太大,并且7805温度很高,为了处理好这个问题,我们可以在数码管上接一个电阻,再与地相连,这样就可以分压,数码管也不会再烧坏。

3)我们用示波器来测量关键点处的波形,我们发现在分频处出现的波形与我们理论的波形相差较大。在实验室中,发现电路没有问题,但是电路不会实现计数功能,最后我们换了另外一片芯片,发现电路开始正常工作,由此我们可以得出我们实验所使用的芯片不能正常工作,已经损坏。

4)我们在焊接电路板的时候很容易造成虚焊的情况,在焊的时候应该在3秒之内焊好一个焊点,否则容易将焊点融化。为了不造成虚焊,我们最好把焊点的锡焊成45度角,这样力是向内的,以后就不容易松动。

5)在焊电路板的时候为了我们方便焊接,应该从底层的小器件开始焊锡,从矮到高这样有利于我们工作。

6)在UA741后面的稳压二级管上面并联一个1K欧的一个电阻来分压使得输入的电压变低。

在此次课程设计中,我们不仅回顾了如何去焊好一个焊点,而且更加熟悉了焊锡的技巧,加深了焊锡的熟练度。在自己亲手制作的过程中我们也体会到了乐趣,动手能力也逐步提高,在实践中发现问题,思考问题,让后再一起解决问题。不仅如此,在此次课程设计中,老师的教学方法也很独特,将全班分为12个小组,且每一个小组负责的内容都不一样,并且让学生自由讨论,然后派一个代表去讲述他们所理解的内容,并且发表一下他们的修改方案,触动了我们自主学习,自己去利用网络资源来辅助我们的设计。这次的课程设计与以往学习的模电与数电

联系比较紧密,再一次带领我们回顾了以往所学过的知识,温故而知新,进一步加深了对触发器,锁存器,计数器的工作原理的了解;对于模电中的集成运放以及半波整流电路有了一个更为深刻的理解。这次的课程设计比较有实际意义。附录

附录1:电路原理图

附录2:生成PCB图

附录3:使用元器件一览表(序号、名称、型号、规格、数量、备注)

附录4:参考文献

参考资料:

[1]康华光.电子技术基础.北京:高等教育出版社, 1987 [2]李亚伯.数字电路与系统.北京:电子工业出版社, 1997 [3]陈晰.数字电路技术基础.北京:电子工业出版社, 1999 [5]李元.数字电路与逻辑设计.南京:南京大学出版社, 1997 大学出版社, 1989

[6]侯伯亨、顾新编著.VHDL硬件描述语言与数字逻辑电路

设计.西安:西安电子科技大学出版社, 1998

[7]王锁萍.电子设计自动化(EDA)教程.成都:电子科技大学出版社, 1999

[8]郭斌.数字逻辑电路.北京:电子科技大学出版社, 1995

[9]曹汉房.数字电路的设计与解题技巧. 北京:高等教育出版社, 1989

[10]王振宇.实验电子技术.天津:天津大学出版社,1989 [ 11] 程震先.数字电路实验与应用.北京:北京理工大学出版社, 1989

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

音响分频器原理

音响分频器原理 音箱分频器原理浅释【二分频器样品展示】 首先大家要明白如下道理: 电容器:当电容器两端加载电压的时候,两端就会感应并存储电荷,所以电容器是一个临时的储存电能的器件,当电容器两端电压变化很快的时候【即高频】,由于电压变化太快导致两端感应电荷也同步地变化,也就等效于有电流流过电容器,而当频率很低的时候,电容器两端电压变化很慢,近似没有电流流过。所以说电容器是阻低频通高频的。 线圈:当有电流通过的时候,如果电流的大小和方向发生变化,线圈会产生感应电动势【电压】,它与原来的电压方向相反,即线圈是阻碍变化的电流通过的,当电流变化很快的时候,线圈产生的负电压会很大【根据公式伏电压和频率成正比】,所以线圈是阻高频通低频的。【因为频率很低的时候近似负电压很低或为0,即可以让低频电流顺利通过】

所以音箱分频器采用了上图结构,具体分析: 连接高音喇叭的电路:让电流先流过电容器,阻止低频,让高频通过,并且喇叭与一个线圈并联,让线圈产生负电压,那么这个电压对于高音喇叭来说正好是一个电压补偿,于是可以近似地逼真还原声音电流。 连接低音喇叭电路:电流先流过线圈,这样高频部分被阻止,而低频段由于线圈基本没有阻碍作用而顺利通过,同样,低音喇叭并联了一个电容器,就是利用电容器在高频的时候产生一个电压来补偿损失的电压,道理和高音喇叭端是一样的。 可以看出,分频器充分利用的电容器和线圈的特性达到分频。但是,线圈和电容器在各自阻碍的频率段内终究还是消耗了电压的,所以电路分频器会损失一定的声音,其补偿措施也有很多,由于笔者知识不够,难以说的很清楚。而电子分频就解决了这个问题,当声音输入到功放之前就先分频,然后对不同的频段使用专门的放大电路进行放大,这样的话声音失真小,还原逼真。但是电路复杂,造价昂贵。 下面是一个常用的电路分频器: 下面我们再看两个二分频器:

专业电子分频器的使用技巧

专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

一文解析主动分频器和被动分频器的优缺点

一文解析主动分频器和被动分频器的优缺点 汽车音响简单的来说区分主动和被动的区别在于分频处理在功率放大之前或之后,分频在功率放大之前的称为主动,而在功放的输出端进行分频处理的称为被动分频。主动和被动都有各自的优点,声音也都有自己的优点和缺点,需要根据自己需要做选择,而不是听别人说主动好就去玩主动。下面是这两种分频的优缺点。 本文首先介绍了分频器的分类及电子分频器的工作原理,其次介绍了主动分频器的优缺点,最后介绍了被动分频器的优缺点,具体的跟随小编一起来了解一下。 分频器的分类分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。 主动分频器的工作原理(电子分频器)电子分频器是利用各类有源滤波器(高通、低通、带通)将全频域的音频信号分成不同的频段,有源滤波器是由无源RC滤波网络和由运算放大器接成的跟随器组成,衰减特性随着RC阶数的增加而增强(每增加一阶,衰减斜率增加-6db/out)。 电子分频方式使功率放大器与扬声器之间只有功率传输线。而没有影响音质的其他环节,从而降低了失真,提高了功率放大器对扬声器的阻尼系数,由于电子分频器的负载是功率放大器的输入,阻抗高而且稳定,所以能很容易的调整分频点和控制分频精度,而且由于电子分频器的每一频段的带宽较窄,使非线性畸变引起的高次谐波受到抑制,降低总谐波失真和互调失真,电子分频器克服了功率分频器中存在的缺点,但增加了成本和系统调试的难度,因此多被用于专业场合。 1、二分频电子分频器的工作原理图 7-32所示为采用滤波运算综合法的二分频电子分频器框图,其基本原理是用一组高通或低通滤波器滤除相应频段的信号,然后将此信号反相后,与全屏输入信号相加,得到另一频段的信号。

电子分频是什么

电子分频是什么 说到电子分频,首先要说分频器是什么。顾名思义,分频器是一种将不同频率的信号进行分割的电路装置。其本质就是信号中的各种滤波器。通常我们指的音频的频率范围在20Hz-20KHz,高音指的是频率较高的声音,低音指的的是频率较低的声音。而在HiFi音响中,分频器的作用就是将高、中、低音,按照需要频率进行分割。因此分频器也常被称为“分音器”。 为什么需要分频器呢?因为不同的喇叭单元各自的特性不同,它们都有最佳表现的频率范围。因此在要求较高的HiFi音响系统中,利用分频器将频率进行分割,再分别交给高、中、低音喇叭,使在它们仅在最佳表现的频率范围内工作,以达到音质更佳的目的。 那么分频器有哪些种类呢?根据分频器在音响系统中所处的位置不同,我们通常又将它们分为功率分频器和电子分频器。 功率分频器: 电子分频器:

功率分频器是家庭HiFi音响中最常见的分频器,它处于功放之后、喇叭之前。正是因为它需要承受功放输出的巨大功率,所以称为功率分频器。功率分频器都是无源滤波器。 电子分频器则用来构成另一种音响系统。它处于音源之后,功放之前。经过它的音频信号较弱,所以通常用有源滤波器来实现。因此电子分频器也常被成为:有源分频器、主动分频器等。

功率分频器由于受元器件所限,所以在阻抗匹配、相位特性、插入损耗等方面和电子分频相比都不具优势。更重要的是,电子分频系统中,以多台功放分工合作的方式代替了功率分频系统中一台功放全力工作的方式,使得对功放的要求明显下降,但表现却能大大提升。 其实在专业音响上,电子分频系统早就被成熟运用。不过略有不同的是,专业音响中更多使用的电子分频器是DSP(数字信号处理器),它的最大特别是集成度高,功能强大,可以对曲线等进行各种调整。而在家用HiFi音响中,特别是对普通用户来说,笔者更推荐使用模拟的电子分频器。模拟的电子分频器没有很多功能和可调整的部分,但也因此能拥有更自然更优质的声音。 当然,不可否认,无论哪种音响系统如果设计合理,都可能发出好声音。 一家之言,仅供参考。

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

什么是主动和被动汽车音响电子分频器

什么是主动和被动汽车音响电子分频器 所谓电子分频,就是将分频电路提至放大电路之前的电路拓扑设计,就这么简单。 电子分频不是什么新技术,应用于舞台专业音响器材已很普遍。由于电子分频时信号功率很小,很容易把频率精确分开,完全可以根据喇叭单元的特性进行分频,最大限度发挥喇叭单元的特性,得到最平直、最满意的听音曲线 什么是主动和被动汽车音响电子分频器?为了真实地重放各种节目信号,要求喇叭有尽可能宽和平坦的频率响应,即使从HI-FI用是最低低放频响要求来说,喇叭的重放频率范围也必须达到50~12500HZ。事实上,由于受扬场器结构以及制作工艺的客观限制,目前任何一种扬声器都无法在如此宽的频率范围内很好的工作。每一种扬声器都有它的有效频率范围,只能在一个较窄的范围内很好地工作,倘若扬声器的实际工作频率超出它的有效频率范围,扬声器的失真和指向性指标将明显变坏。为此,人们制造出了适用于不同频率范围的扬声器,如低频扬声器、中频扬声器、高频扬声器等等。 这样,人耳能听到的20HZ~20KHZ这个频率范围的声音就是分别通过低频扬声器、中频扬声器、高频扬声器来分别发出。但是并不能直接把这两种扬声器并联在一起,必需要有一种器件来做一个“频率分配工作”,分频器应运而生,分频器的作用就是把20~20KHZ 的全频带信号分割成不同的频段,再分配到相应的喇叭发声。 当我们在安装汽车音响套装喇叭时,经常会接触到一个器件——电子分频器。它时而被我们隐藏在仪表台下方,时而又被我们藏在车门内饰板内。可能有些朋友便会好奇,这个电子分频器到底是干什么用的?没有电子分频器可以吗?对于大家的疑问,结合各方资料,就简单给大家介绍一下电子分频器! 什么是电子分频器: 从字面上理解,电子分频器的意思就是“区分频率的器材”,其实科学原理也是如此,将音频的弱信号进行分频,然后传输给功放,让其放大音频信号,最后传输给各个扬声器。电子分频器的作用:

调音经验4、专业电子分频器的使用技巧

4专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

分频器设置规则(校对版)

处理器设置规则(什么是分频点?) Processor Setting Fundamentals -or- What Is the Crossover Point? 内森.巴特尔 曾山、骆明刚译自https://www.360docs.net/doc/a43711805.html,/APP/papers.html Technical Papers-DSP Setting Fundamentals 长期以来,人们对分频器有一些错误的认识,不知道分频器是什么?不知道分频器在多功放扩声系统中怎么使用?过去,只有专业设计人员才能更改处理器的设置,而今天,可设置的DSP处理器则允许普通用户调整其参数。可不幸的是,在音响系统中,仅对厂家的推荐设置做微小的改变,就可能对其系统性能产生巨大的影响。这篇文章试图解释一些分频器的细节并指出一些严重影响音质的常见操作错误。 一.什么是分频器? 分频器可定义为:将输入的电信号分离成两路单独的信号,且使每一路信号的带宽均小于原始信号的带宽,这种由一对或多对滤波器构成的装置就称为分频器。也可称为“频率分配网络”。 分频器通常由高通(低切)滤波器(简称为HPF)和低通(高切)滤波器(简称为LPF)组成。滤波器是一种频率选择器件,可以通过被选择的频率而阻碍其他的频率通过。滤波器通常有以下三个参数:截止频率,网络类型,斜率。截止频率是指滤波器的响应在低于它的最大电平时跌落到某点的频率,通常为最大电平的0.707倍或0.5倍,或下降3dB或6dB时的频率。网络类型是指滤波器的频率响应曲线在截止频率附近的形状,近些年来,人们设计了很多种类型的滤波器,常见的滤波器类型有:巴特沃夫,林克威兹,贝塞尔等,图一为各种滤波器的的频率响应曲线,斜率定义为滤波器的频率响应曲线中下降到截止频率时的倾斜程度,单位为dB/倍频程,通常斜率为每倍频程6,12,18和24dB。也可以称为‘滤波器斜率’或‘滤波器阶数’,滤波器阶数每增加一阶,则其斜率增加6dB/倍频程,也就是,一阶滤波器有6dB/倍频程的斜率,二阶滤波器则有12dB/倍频程的斜率。那么,24dB/倍频程的巴特沃夫滤波器就相当于4阶的巴特沃夫滤波器。

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

专业音响延时器使用技巧

在我的前几篇文章里,先后简单介绍了调音台、均衡器、压限器、电子分频器、反馈抑制器、数字效果器的使用技巧,这篇文章我想同大家简单交流一下关于专业音响延时器的使用技巧。我们这里提到的延时器是指用在音响系统中的一种音频处理设备,延时器可以把通过它的音频信号进行延时处理,所以也有人叫它:延迟器。音响延时器一般用在一些声场空间较大、需多组音箱扩声的系统中。因为在这样的系统中声音由不同位置的音箱发出后,到达听者的耳朵时是有先后之分的,所以为了保证声像的一致性、增加声音的可读性、避免声音的浑浊感和拖尾声,我们有必要使用延时器进行相关处理。现在有些音响师感到困惑的是:在什么情况下才需要使用延时器?需要延时器处理的目标是什么?要了解这些问题,我们有必要先了解一些声学原理: 一、相关声学原理 (一)、声音的产生声音是由振动产生的,然后通过媒质(空气、液体、固体)传播的,人耳接收到声音信号后再通过大脑的处理,我们就听到了声音。(二)、声音的速度 1、声音在空气中传播的速度是每秒340米左右。在空气中,温度会影响声音传送的速度,温度越高,声速就越快。温度每升高1℃,声速每秒就增快0.6米。比如,在0℃时,声速是331米/秒,而在15℃时,声速=331+0.6×15=340米/秒。一般我们就是以340米/秒作为声音在空气中的标准传播速度。在真空中,由于没有空气,所以声音是无法传播的。假如我们站在月球上,即使有人对着你大声喊叫,我们也不可能听到声音,因为月球上没有空气。这也就是宇航员必须用无线电通讯的主要原因了。 2、声音在液体中传播的速度比空气中快,不同的液体传播声音的速度也不同,声音在水中的传播速度是大约1450米/秒。当人走到河边,河边的鱼一听到人的脚步声就会立即游开,这也从侧面证明了水是能传播声音的。 3、声音在固体中传播的速度比空气中和液体中都要快,比如在钢铁中声音传播速度可高达5000米/秒。原因是音速与物体分子的密度有关系,密度大的物体,分子间的距离比较小,相互作用很强,因此传播的速度快,损耗小。密度小的物体,分子间距离大,相互作用弱,声音在其中传播的速度就较慢,而且损耗也大。例如:我们伏在铁轨上,就可以提前判断火车到达的时间,因为钢铁传播声音的速度比空气中快;再例如:我们在看武侠电影的时候,经常看到电影里的侠客伏在地上听下有没有马蹄或人员行进的声音,就是因为大地是固体,它传播声音的速度比在空气中快。古人都能发现这种现象,可见武侠小说也不是乱写的。 (三)、声音的掩蔽效应 1、声音响度大的掩蔽小的。一个声音比另一个声音大20dB时,就可以完全掩蔽它。 2、在同样响度时,中频声音掩蔽高频和低频,因为人耳对中频听觉较灵敏。 3、高音频率掩蔽低频声音,因为高音音色有突出感,容易掩蔽低音。 4、同一个声场内,两只参数相同的音箱,在所使用的音源一致、声压级一致的情况下,离我们距离近的那只音箱的声音会掩蔽离我们距离远的那只音箱的声音。 二、关于延时器的应用了解了以上声学原理后,我们再结合这些原理看一下关于音频延时

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

相关文档
最新文档