分频系数可调的分频器

分频系数可调的分频器
分频系数可调的分频器

基础工程设计(论文)说明书

题目:分频系数可调的分频器

院(系):电子工程与自动化学院

专业:光电信息工程

学生姓名:黄德福

学号:1100860210

指导教师:彭智勇

2013年9月13日

目录

引言 (1)

1 设计任务和要求 (1)

1.1 设计要求 (1)

1.2 设计思想和原理 (1)

2 系统方案 (1)

2.1 方案论证与选择 (1)

3 系统框图 (1)

4 硬件设计 (2)

4.1 计数器的分频部分 (2)

4.2 反馈电路部分 (2)

4.3 后级信号处理 (3)

4.4 显示分频系数部分 (3)

5 系统调试及测定 (3)

5.1 硬件调试方法及过程 (3)

5.1.1 调试仪器 (3)

5.1.2 调试过程 (3)

5.2 调试及评定 (4)

5.2.1 系统的调试 (4)

5.2.2 仿真理论值 (4)

6 实际结果测量及分析 (5)

7 结论 (6)

谢辞 (7)

参考文献 (8)

附录 (9)

附录一电路原理图 (9)

附录二设计PCB图 (10)

附录三元件清单 (11)

分频系数可调的分频器

引言:

分频器是数字系统设计中的一种基本电路。通常用于对某个给定的频率进行分频,以得到我们所需要的频率。根据不同设计的需要,我们会遇到偶数分频、奇数分频、半整数分频等,有时要求等占空比,有时要求非等占空比。在同一个设计中有时要求多种形式的分频。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频,实现较为简单。在本课题中要求的是可调系数的分频器,我们可以实现可调的偶数分频系数的非等占空比的分频器,较为简单实用。采用计数器和门电路即可实现课题的要求。

1 设计任务和要求

1.1 设计要求

1) 分频系数在一定范围可调;

2) 拟定分频器的组成框图;

3) 画出分频器的整机逻辑电路图;

4) 设计并安装各单元电路,要求PCB布线整齐、美观,便于调试。

1.2 设计思想和原理:

通过计数器计数脉冲的个数,设置计数器的进制,从而产生计数脉冲,然后计数脉冲通过D触发器的二分频整形输出,形成稳定的方波输出。即可分频出我们所需要的频率的信号。

2 系统方案

本系统主要由计数模块、反馈模块、后级处理模块以及显示模块组成。下面论证计数模块。

2.1 方案论证与选择

方案一:采用异步十进制计数器74LS192,运用异步加法反馈清零法计数分频。加法反馈清零可以实现清楚明了的计数分频,可以通过输出端直接设计拨码开关即可实现可调的分频系数。

方案二:采用异步十进制计数器74LS192,运用异步加法置数法计数分频。加法置数计算比较繁琐,操作不方便,而且计数分频时容易发生跳变。不宜选择分频。

综合考虑,故选择方案一,比较方便设计。

3系统框图:

4 硬件设计

4.1 计数器的分频部分

通过拨码开关实现分频系数的可调,采用与非门进行对计数器的进制调整,并且高电平对与非门来说是开门信号,则4个输入端接一个上拉电阻,起到高电平的作用。由于74LS192的清零端异步清零的,MR 低电平是正常计数,高电平时是清零。则需要将最终计数的信号进行取反,方可清零重新计数。如图1

图1

4.2 反馈电路部分

由于是两片74LS192进行级联的,则需要低位的计数芯片的进位输出需要接到高位的时钟信号的输入,才能实现更大的分频系数的调节。并且级联需要两片192能够同时清零才能实现分频信号的输出,则需要一个与门来实现同时清零的效果。整数的是十分频也是需要满足与门的关系,只需要将低位的进位信号和级联信号相与即可。如图2

图2

4.3 后级信号处理

反馈清零输出的信号为一个窄脉冲(一个门的开启的时间),很短暂,我们使用一般的示波器观察是很难检测到的。综合考虑,牺牲奇数分频系数部分,获取可观测的分频信号。通过D触发器实现该功能,输出的清零信号中,每来一个上升沿D触发器就会自动的跳变取反一次,直到下一个上升沿的到来,才改变他的输出电平。如图3

图3

4.4 显示分频系数部分

由于计数器的输出信号为二进制代码,则需要进行译码方可用数码管显示,但计数的过程中是动态的,而且随着输入信号的频率越高显示时越模糊,只能看到两个“88”。那么需要采用锁存器74HC573将显示数据的锁存,显示当前的分频系数。如图4

图4

5 系统调试及测定

5.1 硬件调试方法及过程

5.1.1 调试仪器

函数/任意波形发生器 1台

数字示波器 1台

数字万用表 1个

5.1.2 调试过程

(1)首先观察电路有无断点或可疑点,若有则用电烙铁进行焊接。

(3)由于本系统使用的是数字计数器,无需调零等,所以电路连线检查和电源线路正确后,

即完成硬件调试。

5.2 调试及评定

5.2.1 系统的调试

系统硬件电路及软件平台完成时,必须对系统进行测定。由于理论与实践有一定差距,在实际实验中理论值仅能作为一个参考。将信号源接入本系统中,调节输入信号为方波,峰峰值5Vpp,直流偏置2Vdc。调节分频系数,通过调节拨码开关对应的二进制数的2倍即为分频系数。如调节低位的拨码开关二进制数值为1001,高位拨码开关二进制数值为0010,则组合的分频系数为29*2=58分频。(注意本系统只能对偶数分频,且分频系数的范围是2~198偶数分频。如果超出此范围是不能分频的,并且74LS192的最大能分辨的频率30MHz。)

5.2.2 仿真理论值

使用仿真软件Multisim进行仿真,仿真结果如下:

输入信号为1KHz,拨码开关对应的分频系数为40分频,仿真结果分频周期为39.96ms,即25Hz。如图5

图5

输入信号为25KHz,拨码开关对应的分频系数为50分频,仿真结果分频周期为2.000ms,即500Hz。如图6

图6

输入信号为70KHz,拨码开关对应的分频系数为70分频,仿真结果分频周期为1.000ms,即1000Hz。如图7

图7

输入信号为150KHz,拨码开关对应的分频系数为150分频,仿真结果分频周期为1.000ms,即1000Hz。如图8

图8

由上面的仿真结果可以得知:该方案是可行的。而且分频系数是可以调节的,并且输出的方波也是比较稳定的,等占空比的。

6实际结果测量及分析

表1

由上表可知:本系统的可调分频系数的分频器是可行的。分频出来的信号也是比较稳定的,其误差是比较小的,精度也是比较高的。该误差产生的原因:一方面来自信号源的误差,信号源的输出没有加同轴电缆进行对信号的保护,导致一部分高频信号的失真,并且容易受到外界的信号干扰。另一方面是本系统中的各个芯片的兼容性不能够完全匹配吻合,频率越高其各个芯片之间会有一定的干扰,处理速度跟不上等。

7 结论

通过本系统的测试可知:可调分频系数范围是2~198偶数分频,输出的波形为等占空比的方波。

调试过程中遇到的问题有:示波器检测不到输出分频信号,解决方案是在输出信号加一个D触发器对输出波形整形和分频,输出稳定的方波,但使用D触发器后会牺牲奇数分频系数,则最后分频出来的信号只有偶数分频系数。显示部分对高频信号分辨率不清晰。解决方案采用锁存器对显示信号进行锁存,通过拨码开关对显示分频系数进行调整,保留其显示数据。

本系统需要改进的地方有:显示部分应该使用的是静态显示,而不是动态扫描的形式;可调分频系数可以增加奇数分频。

通过本次实验的设计和验证,本系统的可调分频系数的分频器的设计方案是可行的,输出的波形也比较稳定。使得系统成为一款使用简便、便携式的廉价的可调分频系数的分频器。采用了多种方法减小测量误差,用数码管显示测量结果,测量精度高,显示直观。使系统具有功能强,性能可靠的特点。

谢辞

在这里我要衷心的感谢彭智勇老师在繁忙的工作和学习中抽时间对我进行指导和帮助。他在我的整个课程设计设计过程中,在硬件硬件方面给我很多有意义的启迪。在系统设计方面和调试电路提出了许多建议。通过这段时间的课程设计制作使我从系统方案论证,硬件电路设计,以及硬软件调试等各个方面都积累了不少经验,这将是我以后工作和学习的宝贵财富;同时还要感谢很多帮助过我的同学们,他们不仅给予了我很多好的建议,帮助我解决了设计中遇到的问题,而且通过交流,更是获得了多角度的思考问题的启迪,可以说没有老师的指导和同学的帮助也就没有我今天的作品。

参考文献:

[1] 阎石.数字电子技术基础,北京:高等教育出版社,2006.5

[2] 华成英,童诗白.模拟电子技术基础,北京:高等教育出版社,2006.5

[3] 周巍,黄雄华.数字逻辑电路,成都:电子科技大学出版社,2007.8

附录附录一:电路原理图

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

运行参数控制措施

运行车间参数控制措施 一、控制目标 1、机组负荷曲线偏差控制在±2%以内。 2、机组启动用油控制在25吨/次以内。 3、运行参数控制在可控范围内。 二、保证参数的组织机构及分工 1、组织机构: 组长:巩固黄卫 副组长:郭晓勇徐辉闫宪兵孙士莉 成员:马山张国良殷晓杰吕庆华吴兆明田照健薛洪雷李斌 2、人员职责: 锅炉专业负责人:郭晓勇徐辉孙士莉 汽机专业负责人:闫宪兵黄卫 电气专业负责人:巩固谢秀明 两票三制负责人:闫宪兵孙士莉徐辉郭晓勇 机组负荷负责人:徐辉 机组启动用油统计人员:孙士莉郭晓勇 环保负责人:郭晓勇孙士莉 各专业负责人的第一位次者为该专业的总负责人,全权负责本专业的安全运行。 三、控制措施细则 (一)发电量控制措施 1、以省调计划曲线为参考,单机发电负荷控制在120~130MW之间,在机组安全运行基础上,运行人员在运行调整过程中应做到安全第一,杜绝超参数接带负荷,如汽温、壁温、汽压、烟温、烟气排放等参数长时偏离规定限值等,将进行处罚(详见附表“考核奖罚细则”)。 2、运行车间管理人员在巡视工作时,发现的影响机组安全运行操作,均作为考核扣罚的依据,视情节进行考核。 3、发电量抄表时间为交班前10Min,由电气交、接班人员共同确认发电量计量

数据,运行车间夜班抄表人负责将每日班组发电量报值长,夜班值长负责登记、考核、公示早、中、夜各班发电量,月度考核由专责人负责月度考核排序。 (二)、机组启动用油控制 1、机组正常启动用油控制在25吨/次以下。 2、机组正常启动必须在保证安全的前提下,将一次风量、温升率、升速率、汽水品质等参数合理控制在最佳范围内,严禁为节油而不顾机组的安全启动,否则将进行处罚。 3、在机组启动期间,由于非运行原因导致启动用油量超标,可申请免考。 4、机组启动前后,须两人共同确认油量表底码及油罐油位,并做好记录。 (三)安全生产指标控制措施 3.1集控运行班组出现一次轻伤及以上人身伤害事故,取消当值当月所有竞赛资格。 3.2集控运行班组发生一类障碍以上事故,取消当值当月所有竞赛资格。 3.3集控运行班组发生一次未遂事故扣班组考核10分。 3.4集控运行班组发生违反《安规》误操作未造成后果每次扣5分。 3.5“两票”出现一处不合格者扣2分,重要安全措施遗漏或未交接清楚每处扣2分;操作错误、操作漏项、未定期试验或切换的分别扣2分。 3.6巡检不到位、不及时、走马观花,巡检记录遗漏、超前、滞后填写,每次扣2分。及时发现设备隐患(缺陷)的班组,根据隐患(缺陷)类别加2分。未及时发现设备隐患(缺陷)的班组,根据隐患(缺陷)类别扣2分。 3.7交接班记录不详细、错误、漏项(包括异常处理、设备缺陷等),每处扣1分,重要事项未交接清楚扣2分。 3.8运行日志每错抄、错算、漏抄、漏写、计量报表未签名的每处扣1分。 3.9无特殊情况或未经批准不如期按要求进行定期工作或故意拖延交至下一班次,每次从当值扣减2分。 3.10不按有关规定擅自改变运行方式,每次从当值总分中扣减5分。 3.11“主汽温度”(三级过出口)控制标准是530℃~540℃“再热汽温”(热再出口)控制标准是520℃~540℃,主汽温度与再热汽温的温差不得超过27℃,当出现偏差时运行人员应积极进行调整,并根据《运行值综合竞赛细则》进行考

音响分频器原理

音响分频器原理 音箱分频器原理浅释【二分频器样品展示】 首先大家要明白如下道理: 电容器:当电容器两端加载电压的时候,两端就会感应并存储电荷,所以电容器是一个临时的储存电能的器件,当电容器两端电压变化很快的时候【即高频】,由于电压变化太快导致两端感应电荷也同步地变化,也就等效于有电流流过电容器,而当频率很低的时候,电容器两端电压变化很慢,近似没有电流流过。所以说电容器是阻低频通高频的。 线圈:当有电流通过的时候,如果电流的大小和方向发生变化,线圈会产生感应电动势【电压】,它与原来的电压方向相反,即线圈是阻碍变化的电流通过的,当电流变化很快的时候,线圈产生的负电压会很大【根据公式伏电压和频率成正比】,所以线圈是阻高频通低频的。【因为频率很低的时候近似负电压很低或为0,即可以让低频电流顺利通过】

所以音箱分频器采用了上图结构,具体分析: 连接高音喇叭的电路:让电流先流过电容器,阻止低频,让高频通过,并且喇叭与一个线圈并联,让线圈产生负电压,那么这个电压对于高音喇叭来说正好是一个电压补偿,于是可以近似地逼真还原声音电流。 连接低音喇叭电路:电流先流过线圈,这样高频部分被阻止,而低频段由于线圈基本没有阻碍作用而顺利通过,同样,低音喇叭并联了一个电容器,就是利用电容器在高频的时候产生一个电压来补偿损失的电压,道理和高音喇叭端是一样的。 可以看出,分频器充分利用的电容器和线圈的特性达到分频。但是,线圈和电容器在各自阻碍的频率段内终究还是消耗了电压的,所以电路分频器会损失一定的声音,其补偿措施也有很多,由于笔者知识不够,难以说的很清楚。而电子分频就解决了这个问题,当声音输入到功放之前就先分频,然后对不同的频段使用专门的放大电路进行放大,这样的话声音失真小,还原逼真。但是电路复杂,造价昂贵。 下面是一个常用的电路分频器: 下面我们再看两个二分频器:

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

ABB软启动器参数设置方法

ABB软启动器参数设置方法 软起动器是一种集电机软起动、软停车、轻载节能和多种保护功能于一体的新颖电机控制装置,国外称为Soft Starter。它的主要构成是串接于电源与被控电机之间的三相反并联闸管及其电子控制电路。运用不同的方法,控制三相反并联闸管的导通角,使被控电机的输入电压按不同的要求而变化,就可实现不同的功能。 软起动器和变频器是两种完全不同用途的产品。变频器是用于需要调速的地方,其输出不但改变电压而且同时改变频率;软起动器实际上是个调压器,用于电机起动时,输出只改变电压并没有改变频率。变频器具备所有软起动器功能,但它的价格比软起动器贵得多,结构也复杂得多。ABB PSS系列软启动器有3个旋转设定开关合一个2位拨动开关,对于各种不同的应用场合都能完成基本的参数设定。 1.启动曲线——设定启动时电压提升的时间 说明:斜坡升压软起动。这种起动方式最简单,不具备电流闭环控制,仅调整晶闸管导通角,使之与时间成一定函数关系增加。其缺点是,由于不限流,在电机起动过中,有时要产生较大的冲击电流使晶闸管损坏,对电网影响较大,实际很少应用。启动时间可在1-30秒内调整。 2.停止曲线——设定停止时间电压下降的速度 说明:电机停机时,传统的控制方式都是通过瞬间停电完成的。但有许多应用场合,不允许电机瞬间关机。例如:高层建筑、大楼的水泵系统,如果瞬间停机,会产生巨大的“水锤”效应,使管道,甚至水泵遭到损坏。为减少和防止“水锤”效应,需要电机逐渐停机,即软停车,采用软起动器能满足这一要求。软起动器中的软停车功能是,晶闸管在得到停机指令后,从全导通逐渐地减小导通角,经过一定时间过渡到全关闭的过程。停车的时间根据实际需要可在0 ~ 30s调整。

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

求解这个音箱功率分频器图解

求解这个音箱功率分频器图解? 2009-6-6 20:12 提问者:jk5889|浏览次数:1556次 我是一个对音箱感兴趣的初学学生,想请教大家一下.下面这个分频器线路图解原理. 为什么低音分频上需要这么多电容?并且电容都回到负极上?这起着什么原理作用? 为什么高音电容不回到负极?而在正极上,这起着什么原理作用? 为什么低频电感线圈会回到正极上,这起一个什么原理作用? 为什么高频高频电感线圈却回到负极上,这又是个什么原理啊? 电感线圈主要起什么作用啊? 还有大家帮我看看这个分频器?我想在高音上处理得更好一点,请各位老师们帮我建议建议,参考参考,指点指点,谢谢啊. 我来帮他解答 2009-6-6 23:25 满意回答 lanhaibaor说得很好。我用更简单的话来解答:

1、为什么要这么多电容?主要原因是电容厂家制作时只有几种容量型号,比如1uf,1.5uf,2.2uf,2.5uf,3.3uf,4.7uf等等,有点像硬币只有1分,2分,5分的,你不会看到有8分的硬币。而根据公式的计算又需要特定的容量,比如高通里边需要用4.4uf的电容,而又没有这个型号的,就用两个2.2uf的并联做4.4uf。就是这么简单。当然还有其他的次要原因,比如挂小容量的方便调试以及lahaibaor说的原因等。 2、为什么这些电容、电感是并联,而有些是串联?因为电容更容易让高频通过而阻止低频通过,而电感则相反。这是基本电路原理,如果你连这点都不清楚,我建议你还是和lahaibaor联系,让他给你讲讲,我虽然也知道,但是你似乎太初级了,我没有耐性。 9 |评论 向TA求助 回答者:八百里八|八级 擅长领域:电脑/网络医疗健康体育/运动历史话题军事 参加的活动:暂时没有参加的活动 提问者对回答的评价: 谢谢你,呵呵 相关内容 ?2011-6-2在音箱分频器高音线路里串联一个电阻可以适当的减少分配在高音了、... ?2010-4-12漫步者S2000音箱,这个分频器,是在那个位置安装的 ?2011-6-12DVD用的2.0音箱内有分频器吗? ?2011-5-9我的一个音箱里的分频器上有个FD-20W2R4K的象水泥电阻的东西,我看... ?2011-3-29音箱没有分频器可以么? 1 更多相关问题>> 查看同主题问题:音箱功率分频器图解 ?分频器:价格 ?分频器:电路图

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

变频器常用运行参数及设定

变频器的设定参数较多,一般都有数十甚至上百个参数供用户选择,每个参数均有一定 的选择范围,使用中常常遇到因个别参数设定不当,导致变频器不能正常工作的现象。因此, 必须对相关的参数进行正确的设定。在实际应用中,没必要对每一个参数都进行设定和调试, 多数只要采用出厂设定值即可。此处讲解经常需要设定的参数,其他参数的详细设定可参考 相关变频器手册。 3.1.1变频器的常用运行参数 变频器需要设定的参数不仅众多,而且与其在工程实际当中的具体应用密切相关,此处 列举主要的变频器参数,如控制方式、最低运行频率、载波频率、电动机参数等,详细介绍 各参数的含义、设定方法和原则,为读者在实际工程应用中设定参数提供参考。 (1)控制方式 即U/f协调控制、转差频率控制、矢最控制、直接转矩控制、速度控制、PID控制、最 优控制及其他非智能控制方式或智能控制方式。控制方式是决定变频器使用性能的关键所在。 目前市场上的低压通用变频器品牌很多,选用变频器时不要认为档次越高越好,而要根据负 载的特性,以满足使用要求为准,以便做到量才使用、经济实惠。 (2)最低运行频率 即电动机运行的最小转速,电动机在低转速下运行时,其散热性能很差,电动机长时 间运行在低转速下,会导致电动机烧毁,而且低速时,其电缆中的电流也会增大,导致电 缆发热。 (3)最高运行频率 即变频器所能输出的最高频率,一般的变频器最大频率到60Hz,有的甚至到400Hz.高 频率将使电动机高速运转,但对件通电动机来说,其轴承不能长时间的超额定转速运行,电 动机的转子不能承受这样的离心力。设定最高频率时,要注意不要超过电动机所能承受的最 高频率。最高频率一般设定为电动机的额定频率。 (4)载波频率 变频器大多是采用PWM的形式进行变频调速的,变频器输出的电压是一系列的脉冲, 脉冲的宽度和间隔均不相等,其大小就取决于调制波和载波的交点,也就是开关频率。开关 频率越高,一个周期内脉冲的个数就越多,电流波形的平滑性就越好,但是对其他设备的干

专业术语音箱、扬声器、分频器、功放详解

专业术语音箱、扬声器、分频器、功放详解 本文主要详解音箱、扬声器、分频器、功放,首先介绍了音箱的组成、原理、分类及性能指标,其次介绍了扬声器的原理和使用方法,最后详细的阐述了分频器、功放的原理及作用,具体的跟随小编一起来了解一下。 一、音箱详解音箱指可将音频信号变换为声音的一种设备。通俗的讲就是指音箱主机箱体或低音炮箱体内自带功率放大器,对音频信号进行放大处理后由音箱本身回放出声音,使其声音变大。 音箱是整个音响系统的终端,其作用是把音频电能转换成相应的声能,并把它辐射到空间去。它是音响系统极其重要的组成部分,担负着把电信号转变成声信号供人的耳朵直接聆听的任务。 音箱的组成 市面上的音箱形形色色,但无论哪一种,都是由喇叭单元(术语叫扬声器单元)和箱体这两大最基本的部分组成,另外,绝大多数音箱至少使用了两只或两只以上的喇叭单元实行所谓的多路分音重放,所以分频器也是必不可少的一个组成部分。当然,音箱内还可能有吸音棉、倒相管、折叠的“迷宫管道”、加强筋/加强隔板等别的部件,但这些部件并非任何一只音箱都必不可少,音箱最基本的组成元素只有三部分:喇叭单元、箱体和分频器。音箱发声的原理 要知道音箱发声的原理,我们首先需要了解声音的传播途径。声音的传播需要介质(真空不能传声);声间要靠一切气体,液体、固体作媒介传播出去,这些作为传播媒介的物质称为介质。就好比水波,你往平静的水面上抛一个石子,水面就有波浪,再由对岸传播到4周;声波也是这样形成的。声波的频率在20——20,000Hz范围内,能够被人耳听到;低于或高于这个范围,人耳都听不到。 水波与声波的传播方式是一样的,通过介质的传播,人耳才能听到声音

小数分频器原理

基于CPLD/FPGA的半整数分频器的设计 在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。整数分频器的实现非常简单,可采用标准的计数器,也可以采用可编程逻辑器件设计实现。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。比如:分频系数为2.5、3.5、7.5等半整数分频器。笔者在模拟设计频率计脉冲信号时,就用了半整数分频器这样的电路。由于时钟源信号为50MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为2.5,因此整数分频将不能胜任。为了解决这一问题,笔者利用VIDL硬件描述语言和原理图输入方式,通过MAX+plus II开发软件和ALTERA公司的FLEX系列EPF10K10LC84-4型FPGA方便地完成了半整数分频器电路的设计。 2 小数分频的基本原理 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为10.1的分频器时,可以将分频器设计成9次10分频,1次11分频,这样总的分频值为: F=(9×10+1×11)/(9+1)=10.1 从这种实现方法的特点可以看出,由于分频器的分频值不断改变,因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率,而不是一次N分频,一次N-1分频。 3 电路组成 分频系数为N-0.5的分频器电路可由一个异或门、一个模N计数器和一个二分频器组成。在实现时,模N计数器可设计成带预置的计数器,这样可以实现任意分频系数为N-0.5的分频器。图1给出了通用半整数分频器的电路组成。

BIOS常见的参数设置方法

BIOS常见参数设置 内存在电脑中的重要性和地位仅次于CPU,其品质的优劣对电脑性能有至关重要的影响。为充分发挥内存的潜能,必须在BIOS设置中对与内存有关的参数进行调整,本文主要介绍常用的BIOS设置的参数。 1、SDRAM RAS-TO-CAS Delay(内存行地址传输到列地址的延迟时间) 可选项:2,3。 该参数可以控制SDRAM行地址选通脉冲(RAS,Row Address Strobe)信号与列地址选通脉冲信号之间的延迟。对SDRAM进行读、写或刷新操作时,需要在这两种脉冲信号之间插入延迟时钟周期。出于最佳性能考虑可将该参数设为2,如果系统无法稳定运行则可将该参数设为3。 2、SDRAM RAS Precharge Time(内存行地址选通脉冲预充电时间) 可选项:2,3。 该参数可以控制在进行SDRAM刷新操作之前行地址选通脉冲预充电所需要的时钟周期数。将预充电时间设为2可以提高SDRAM的性能,但是如果2个时钟周期的预充电时间不足,则SDRAM会因无法正常完成刷新操作而不能保持数据。 3、Memory Hole At 15M-16M(位于15M~16M的内存保留区) 可选项: Disabled,Enabled。 一些特殊的ISA扩展卡的正常工作需要使用位于15M~16M的内存区域,该参数设为Enabled就将该内存区域保留给此类ISA扩展卡使用。由于PC'99规范已不再支持ISA扩展槽,所以新型的主板一般都没有ISA插槽,因而应将该参数设为Disabled。 4、System Memory Frequency(系统内存频率) 可选项:AUTO、100MHz、133MHz。 此项设置实现内存异步运行管理功能。AUTO:根据内存的特性自动设定内存的工作频率;100MHz:将内存强制设定在100MHz频率下工作;133MHz:将内存强制设定在133MHz频率下工作。 5、Memory Parity/ECC Check(内存奇偶/ECC校验) 可选项:Disabled,Enabled。

分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计 1 引言 扬声器系统的分频器分为前级分频和功率分频2类。前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。 采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。 2 对分频器电路、元件的要求 (1)电路中电感元件直流电阻、电感值误差越小越好。而且为使频响曲线平坦最好使用空心电感。(2)电路中电容元件损耗尽可能小。最好使用音频专用金属化聚丙烯电容。 (3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。 (4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。 (5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。 3 分频电感电容参数值的计算

下面以三分频分频器为例说明其参数的计算,如图3所示。

1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。 为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。并设想高、中、低扬声器阻抗均相同为RL。每倍频程衰减12 dB。 2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值 为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。即通过实验描绘频响曲线,从而得到C1,C2,C3,C4,L1,L2,L3,L4的最佳值。如果没有实验条件,这一步也可不做。求出电容电感的值后就可计算电感值了。 4 最佳结构电感的作用 4.1最佳结构电感的提出 空心分频电感(简称电感)的基本参数是电感量和直流电阻。一般来说,电感量不准会导致分频点偏离设计要求并可能影响扬声器系统的频响,大家都比较重视。然而其直流电阻不宜过大,否则会对音质产生影响。通常人们对此电阻在电路中的影响及其定量要求不甚了解,因此未引起足够重视,对此特作以下简要分析。 以图3的分频网络为例,由于低音单元的分频电感L2与负载R(L低音单元额定阻抗)相串联,因此若L2的阻抗过大,功放输出功率在其上的损耗将增大。同时,功放内阻对低音单元的阻尼作用也将大大减弱。前者影响功放的有效输出功率,后者对音质的影响却无可挽回。由于分频网络中L2的电感量最大,且随分频点的降低而增大,所以L2的直流电阻的影响相当突出。 至于高音单元的分频电感L1,因它未与负载串联,就不存在L2那样的功耗和阻尼问题。但是仍希望其阻抗尽可能小些。因为它与负载并联,起着旁路来自C1的残余低音频成分的作用。若阻值过大,就会影响高音分频网络对低音频的衰减陡度。

相关文档
最新文档