实验一-QUARTUS-II入门和分频器设计

合集下载

quartus ii实验报告

quartus ii实验报告

quartus ii实验报告Quartus II实验报告引言:Quartus II是一款由Intel公司开发的集成电路设计软件,广泛应用于数字逻辑设计和FPGA开发领域。

本实验报告旨在介绍Quartus II的基本功能和使用方法,并通过实际案例展示其在数字逻辑设计中的应用。

一、Quartus II概述Quartus II是一款功能强大的集成电路设计软件,它提供了从设计到验证的全套工具。

Quartus II支持多种编程语言,如VHDL和Verilog,使得用户可以根据自己的需求选择适合的语言进行设计。

此外,Quartus II还提供了丰富的库和模块,方便用户进行快速原型开发和验证。

二、Quartus II的基本功能1. 设计入口Quartus II提供了多种设计入口,包括图形界面、命令行和脚本等方式。

用户可以根据自己的习惯和需求选择适合的方式进行设计。

图形界面友好易用,适合初学者;命令行和脚本则更适合有一定经验和需求的用户。

2. 设计编辑Quartus II提供了强大的设计编辑功能,用户可以在其中创建和编辑设计模块、信号线和电路连接等。

设计编辑界面清晰简洁,用户可以方便地进行设计布局和调整。

3. 仿真和验证Quartus II内置了仿真和验证工具,用户可以通过仿真来验证设计的正确性和性能。

仿真工具支持波形查看和信号分析等功能,帮助用户进行设计调试和优化。

4. 综合和优化Quartus II具备强大的综合和优化功能,可以将设计代码转化为硬件描述,进而生成逻辑电路。

综合工具会根据用户的约束条件和优化目标,自动进行逻辑优化和资源分配,提高设计的性能和效率。

5. 布局和布线Quartus II提供了先进的布局和布线工具,可以将逻辑电路映射到实际的FPGA芯片上。

布局工具可以根据用户的约束条件和性能要求,自动进行电路元件的位置分配;布线工具则负责将电路元件之间的连接线路进行规划和布线。

6. 下载和调试Quartus II支持将设计文件下载到目标FPGA芯片上,并提供了调试工具来验证和调整设计的正确性。

实验一 QUARTUS II 入门

实验一 QUARTUS II 入门

实验一QUARTUS II 入门QUARTUS 操作步骤,以“二选一多路选择器”为例1、如图1所示在F盘,建立以自己学号命令的文件夹如200913000000,并在其中建立命为EX1的文件夹,用来保存实验1的文件。

图12、如图2所示,点击Flie->New Project Wizard建立新的工程文件。

图23、将出现如图3所示的界面,点击如图所示的省略号,选择工程文件所要保存的位置。

图34、如图4所示,选中F:\20091300000\EX1并点击打开,进入如图5所示的界面图45、如图5所示,在工程文件保存的路径中显示刚才所选择的路径F:\20091300000\EX1图56、如图6所示,在What is the name of this project的栏目中输入工程的名字本实验临时取mux21a。

同时下面的顶层实体名也同步显示,然后点击Next进入到界面7。

图67、如图7所示,本界面是需要选择已有的文件,因为我们是新的工程,暂时没建立任何文件,所以直接点击Next进入到界面8。

图78、如图8所示,进行器件选择,我们要根据实验箱的芯片来进行器件选择。

选择CycloneII 系列。

图89、如图9所示,在CycloneII系列下选择EP2C5T144C8的芯片。

然后点击Next进行界面10。

图910、如图10所示,此界面是选择额外的综合、仿真工具软件,因为Quartus II本身自带有这些功能,所以我们不选择额外的工具软件。

直接点击Next进入到界面11。

图1011、如图11所示,此界面显示前面所有步骤的结果,包括文件保存路径、工程名、顶层实体名、芯片的系列与具体型号、额外的工具软件等等。

检查没错后点击Finish,如果有错点击Back返回进行修改。

图1112、如图12所示为已经建好工程的界面,显示工程名与工程文件路径。

图1213、如图13所示,点击新建文件按钮或File->New建立新文件,进入到如图14界面。

实验1QuartusII设计平台的使用

实验1QuartusII设计平台的使用

实验1QuartusII设计平台的使⽤实验1 Quartus II设计平台的使⽤⼀、实验⽬的与要求1.⽬的(1)熟悉Quartus II设计平台的界⾯(2)掌握Quartus II设计平台的常⽤功能(3)掌握Quartus II开发流程2.要求(1)调试程序要记录调试过程中出现的问题及解决办法;(2)给出每个问题的算法或画出流程图;(3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应⽤,不断积累编程及调试经验;(4)做完实验后给出本实验的实验报告。

⼆、实验设备、环境PII以上计算机,装有QuartusII软件三、⽅法与步骤(⼀)教师演⽰讲解Quartus II的使⽤1、教师演⽰Quartus II的原理图设计过程。

2、教师演⽰Quartus II的VHDL操作步骤,包括设计输⼊、编译处理、验证(包括功能仿真、时序仿真)和器件编程。

(⼆)我按照原理图设计步骤设计⼀个半加器并仿真1.操作步骤:(1)输⼊源⽂件,选择菜单”File”\”New”,弹出“New”对话框,并选择“Block Diagram/Schematic File”,出现原理图⽂件的编辑界⾯。

(2)双击⼯作区域,出现“Symbol”界⾯,展开界⾯左边的Libraries对话框内的primitives等⽂件夹,并查找与门and2、异或门xor、输⼊信号线Input、输出信号线Output,并把它们依次拉⼊原理图编辑区,如图所⽰(参考)(3)连接各器件,并保存为h_add.bdf⽂件(4)创建⼯程:点击“file/new project wizard”菜单选项,并按其提供的步骤创建⼯程(5)选择⽬标器件:点击“assignments/settings”菜单选项,选择要编程的芯⽚型号以及配置⽅式(6)全过程编译:选择“processing/start compilation”或点击⼯具栏快捷按钮开始全过程编译(此处的全过程编译包括了分析与综合、适配、装配⽂件、定时分析、⽹表⽂件提取等过程)(7)仿真第⼀步:选择“file/new”,并点击“other files”的“vector waveform file”,进⼊波形编辑界⾯(8)仿真第⼆步:选择“view/utility windows”的“Node finder”选项,在“filter”框内选择“pins:all”,点击List按钮,出现本设计项⽬中的所有端⼝,选择要仿真的端⼝并拖⼊波形编辑窗⼝(9)仿真第三步:编辑输⼊信号波形(注意观察教师现场演⽰),并可通过“edit”菜单的“end time”选项设置仿真时间(10)仿真第四步:设置仿真模式,点击“assignments/settings”菜单选项,寻找“filter settings”中的“simulator”选项,选择功能仿真(Functional)或时序仿真(Timing)(11)观察仿真结果,选择“processing/start simulation”或点击快捷按钮,仿真结束后观察并分析输出的仿真波形,检验设计是否正确,如不正确,需查错并修改,重复以上步骤直到仿真波形符合要求。

练习1.1 Quartus II简单应用

练习1.1 Quartus II简单应用

练习1.1 Quartus II开发环境的简单使用本小节中,我们将用一个简单的分频电路为例,简要地介绍一下Quartus II开发环境的使用。

1.首先,我们打开Quartus II开发软件,如果您找不到快捷方式,可以在windows下选择“开始”->“程序”->“Altera”->“Quartus II 9.0”->“Quartus II 9.0 (32-Bit)”。

一般情况下,我们会看到如下界面。

我们可以从最前面的“Getting Started With Quartus@ II Software”窗口中,打开我们最近浏览过的工程,或是创建一个新的工程。

如果我们不需要它,我们还可以把它关掉。

这里,我们先把这个小窗口关掉,我们将通过另外的途径来打开或创建工程。

2.如果我们需要打开一个现有的QuartusII工程,我们可以在菜单栏上选择“File”->“OpenProject”,然后我们可以选择我们需要打开的工程的路径(举个例子,计算机中的D:\Design_Project\Altera\crazy_logic_example\V erilog\Clk50M_div_1HZ下的一个名为Clk50M_div_1HZ的工程),并打开这个工程。

这里,我们可以大致熟悉一下Quartus II 软件的开发界面。

3.接下来,我们在菜单栏中选择“File”->“Close Project”将我们打开的工程关掉,然后选择“File”->“New Project Wizard”打开创建工程向导。

如下图所示,当前的窗口会提示您此向导接下来会帮助您完成哪些步骤。

我们点击“Next”继续。

4.如下图所示,我们需要在第一栏中选择或键入新工程所在的目录,这里我们以D:\Design_Project\Altera\Practice1为例,创建此工程的目录。

接下来,我们还需要在第2栏中输入工程的名称,这里我们以Clk50M_div_1HZ为例,将它输入其中,留意者会发现,在我们输入的过程中,第三栏中的名称也会跟着改变。

实验一 Quartus II使用

实验一 Quartus II使用

实验一Quartus II工具使用一、实验目的1.掌握Quartus II的开发界面和开发流程;2.掌握用原理图方法进行电路设计;3.经过比较了解利用verilog语言进行电路设计的优点;4.学会对设计电路进行波形功能仿真。

二、实验设备1.安装Quartus II软件的计算机2.SmartEDA实验箱三、实验内容1.打开Quartus II工作环境,如图1所示。

图1 打开Quartus II工作环境2.新建一个Quartus工程,在File菜单中选择New Project Wizard帮助新建工程。

打开Wizard之后,界面如图2所示。

点击Next,输入工程工作路径、工程文件名以及顶层实体名。

注意:这里输入的顶层实体名字必须与之后设计文件(比如.v文件)的顶层模块名相同,默认实体名与工程文件名相同,本次实验采用这种命名方法,当然用户也可以根据需要输入不同的实体名。

工作路径:E:\yourname\decode_38 //yourname用个人姓名全拼替换工程文件名:decode_38顶层实体名:decode_38输入结束后如图3所示。

输入结束后点击Next。

图2 New Project Wizard界面图3 输入设计工程信息3.添加设计文件,界面如图4所示。

如果用户之前已经有设计文件(比如.v文件),那么再次添加相应文件;如果没有完成的设计文件,点击Next,之后添加并且编辑设计文件。

图4 添加设计文件4.选择设计所用器件。

由于本次实验使用SmartEDA实验箱,用户必须选择与SmartEDA实验箱相对应的FPGA器件型号。

观察实验箱上蓝色核心板上最大的芯片,可知选用的芯片为Cyclone系列的型号为EP1C6Q240C8的器件。

图5 选择相应器件如图5所示,在Family菜单中选择Cyclone。

在Target device选项框中选择Specific device selected in ‘Available devices’ list。

实验1 QuartusII使用

实验1 QuartusII使用

实验一quartus使用实验1用Verilog HDL语言完成基本电路的设计一、实验目的1.熟悉QuartusⅡ的V erilog HDL文本设计流程全过程及波形时序仿真方法;2.学习简单组合电路的设计。

二、实验内容1.用Verilog HDL语言设计简单组合电路。

2.利用QuartusⅡ开发平台完成电路的文本编辑输入和编译等步骤。

3.在进行时序仿真,验证本项设计的功能。

三、参考程序module muxtwo(a,b,s,y);input a , b , s;output y;assign y=(s?a:b);endmodule四、实验步骤1.建立工作库文件夹(1)新建文件夹。

这里假设本项设计的文件夹取名为muxtwo,在E盘中,路径为E:\muxtwo 。

注意:●文件夹名字不能用中文,最好也不要用数字。

●工程文件夹不要设在计算机已有的安装目录中,也不要建立在“桌面”上,更不要将其直接放在安装目录中。

●不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。

2.创建工程(1)打开并建立新工程管理窗口。

菜单操作:file—new project wizard,即弹出设置窗口,如图1-1所示。

填好内容后,单击Next按钮。

图1-1 利用“New Preject Wizard”创建工程muxtwo注意:●图中的第一编辑框中的E:\muxtwo表示工程所在的工作库文件夹●第二编辑框中的muxtwo表示此项工程的工程名。

工程名可以取任何其它的名,也可直接用顶层文件的模块实体名。

●第三编辑框中的muxtwo表示当前工程顶层文件的实体名,这里即是muxtwo 。

(2)将设计文件加入工程中。

弹出如图1-2所示的对话框。

(现在还没有输入源程序,故不用添加)单击Next。

图1-2 将所有相关的文件都加入进此工程(3)选择目标芯片。

根据实验箱提供的器件型号选择目标器件。

弹出如图1-3所示的对话框。

实验一 Quartus II的使用1

实验一  Quartus II的使用1

实验一、Quartus II的使用一、实验目的:(1)熟悉Quartus II开发环境的使用(2)掌握利用Quartus II进行简单数字电路设计的基本流程及方法(3)掌握Quartus II开发环境中建立电路图的方法(4)了解Quartus II下简单设置输入激励的方法二、实验步骤下面以1位全加器为例介绍如何使用Altera Quartus II设计软件来对可编程逻辑器件进行编程。

(1)双击桌面上Quartus II 图标,运行Quartus II 软件,如图所示:图1 Quartus II 软件界面(2)建立工程。

选择菜单File→New Project Wizard,如图2所示图2 选择建立新工程向导菜单项单击菜单项New Project Wizard 后,出现向导提示框,单击按钮Next,出现如图3所示New Project Wizard 对话框界面,在该界面中输入相应工程名称和存放路径,然后单击按钮Next。

图3 New Project Wizard对话框界面出现如图4所示的Add Files 对话框界面,在File name 栏中输入文件名称,如“Adder”。

图4 Add Files对话框界面出现如图 5 所示的器件设置对话框界面,实验系统使用的是MAXII 系列的EPM1270T144C5 芯片,找到该器件后选中它,然后一直单击按钮Next,完成新工程的建立图5 器件设置对话框界面(3)新建设计文件建立新工程后,选择菜单File→New,弹出如图6 所示的新建设计文件选择窗口。

选择框中的Device Design Files 页下的项目Block Diagram/Schematic File,使用图形设计方式,单击按钮OK,则打开了图形编辑器窗口。

图6 新建设计文件选择框选择菜单File→Save As,在文件保存对话框中输入文件名,如Adder,然后单击按钮“保存”,则创建了图形设计文件Adder(4)设计逻辑电路。

2分频器的设计

2分频器的设计

实验二分频器的设计实验目的1.掌握QuartusII 软件使用流程。

2.熟悉DE2开发板使用。

3.掌握用VHDL实现分频电路的设计方法。

实验内容在QuartusII 软件中使用VHDL语言设计并实现一个25分频电路。

实验仪器PC机、DE2开发板实验原理数字系统经常需要不同频率的时钟,而这些时钟频率之间还须满足一定的数量关系。

使用一个稳定、准确的主时钟,再使用一系列具有不同分频比例的分频器是实现不同频率时钟的一种方法。

DE2开发板的下载步骤:第一步:硬件连接。

USB线接开发板BLASTER(J9)口;直流电源DC9V 接好。

第二步:安装USB BLASTER 驱动。

WINXP下添加新硬件(开始->控制面板),然后更新USB驱动,指定驱动路径为QUARTUS 安装目录下的某个文件。

如:安装后,在QUARTUS的下载界面里选择:即可。

注意:这里下载后的程序掉电会消失。

实验步骤1.在QuartusII软件中新建工程,新建VHDL文件,输入代码,进行编译,仿真。

2.指定目标器件(Cyclone II EP2C35F672C6N),并对编译通过的输入输出端口分配管脚(需参考开发板硬件电路图),分配完后再编译一次。

3.将USB连接计算机机箱进行下载。

4.从开发板中接出25分频的引脚,和地线。

连接示波器,观察波形。

验证设计结果。

实验代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity couter isport (datain:in std_logic_vector(4 downto 0);--管脚配置CLK:IN std_logic;dataout:out std_logic_vector(4 downto 0);co:out std_logic);end couter;architecture arl of couter issignal temp: std_logic_vector(4 downto 0);--中间信号begindataout(0)<=temp(0);dataout(1)<=temp(1);dataout(2)<=temp(2);dataout(3)<=temp(3);dataout(4)<=temp(4);co<=temp(0) and temp(3)and temp(4) and not temp(1)and not temp(2) ;--设置进--位输出process (CLK)beginif(CLK'EVENT AND CLK='1')THENtemp<=temp+1;if (temp(4)='1'and temp(3)='1'and temp(2)='0'and temp(1)='0'and temp(0)='1' )thentemp<="00000";--清零end if;end if;end process;end arl ;附开发板资源1.时钟资2.外部IO资源(部分)--详细资料参考光盘中DE2_UserManuall.pdf例如,我们配置PIN_D25端口为输出口,那么就应在JP1的IO_A0位置观测相应输出信号。

实验一_QuartusII的使用

实验一_QuartusII的使用

实验一_QuartusII的使用引言:Quartus II是一款由美国Intel公司开发的FPGA设计软件,广泛应用于数字集成电路设计和原型验证。

本实验将介绍Quartus II的基本使用方法,包括项目创建、设计输入、约束设置、编译与仿真等。

一、环境准备二、项目创建1.启动Quartus II软件,选择"File" -> "New Project Wizard"创建新项目。

在弹出的对话框中,选择项目的存储位置和名称,并选择合适的目标设备和设计流程。

点击"Next"进入下一步。

2.在第二步中,选择项目的项目类型和是否要添加预定义的IP (Intellectual Property)核。

IP核是现成的、可重用的模块,可以简化设计。

根据自己的需求进行选择,点击"Next"。

3.在第三步中,选择顶层设计文件的命名,并点击"Next"。

5.在第五步中,对项目的设置进行回顾,并点击"Finish"完成项目创建。

三、设计输入1.双击项目中的顶层设计文件,打开Design Entry工具。

在Design Entry工具中,可以通过图形界面或者Verilog/VHDL语言进行设计输入。

a.如果选择使用图形界面,可以在左侧工具栏中选择需要的元件,然后在设计区域中拖拽放置,最终形成需要的电路结构。

b.如果选择使用Verilog/VHDL语言,可以在设计区域中输入相应的代码,然后进行语法检查。

2.在设计完成后,可以使用编译按钮对设计进行编译。

编译过程中,Quartus II会对设计进行分析、优化和进行布线等操作,生成逻辑网表。

四、约束设置1.双击项目中的顶层设计文件,打开Design Constraints工具。

在Design Constraints工具中,可以设置时钟频率、信号约束、引脚约束等。

QuartusII实验讲义

QuartusII实验讲义

QuartusII实验讲义实验讲义实验⼀正弦信号发⽣器实验箱⼯作在模式1;clock0设为16Hz本实验预备了两个⽂件:sin.vhd和sinwave.mif。

sin.vhd是顶层设计⽂件;sinwave.mif 是rom的初始化⽂件。

这两个⽂件在下⾯设计将⽤到。

实验之前建⽴⼀个sinwave⽂件夹将以上两个⽂件拷⼊其中。

下⾯将详细介绍设计流程:1 ⼯程创建进⼊QuartusII开发软件,选择File -> New Project Wizad。

弹出⼯程向导对话框,点击Next。

在对应位置填⼊⼯程名和顶层实体名,再点击Next。

点击Next。

实验所使⽤的是Cyclone系列的“EPIC6Q240C8”,点击Finish。

⼯程新建完成,此时只是配置了与⼯程相关的⼀些基本设置,在开发过程中如需要,仍然可以通过菜单Assignments ->Settings来修改。

2 sin信号发⽣器顶层模块的设计新建⽂件,打开File->New,选择Device Design Files⼦类中的VHDL File,点击OK,创建⼀个vhdl⽂件。

也可以⽤下⾯⽅法:本实验事先已经准备了sin.vhd⽂件,可以将其⽂件名改为sinwave.vhd,添加到⼯程中。

3.定制ROM存储sin波形数据1) 建⽴.mif⽂件.mif是FPGA⽚内rom的初始化⽂件。

点击菜单File->New->Other Files项,选择Memory Initialization File点击确定mif⽂件中字宽和字的数⽬,如下图设置,点击OK。

打开mif⽂件。

填⼊正弦波的数据,以⽂件名sinwave.mif保存。

本实验事先已准备了sinwave.mif⽂件,也可以通过右击Project Navigator 中Files,打开File对话框,直接将已经存在的mif⽂件添加到⼯程中。

2)定制ROM下⾯我们将初始化数据⽂件sinwave.mif⽂件加载到硬件模块中,通过菜单Tools->MegaWizard Plug-In Manager 。

Quartus_II使用教程-完整实例(可打印修改)

Quartus_II使用教程-完整实例(可打印修改)

Quartus Ⅱ入门教程(一个Verilog 程序的编译和功能仿真)Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。

硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。

接下来我们对这种智能的EDA 工具进行初步的学习。

使大家以后的数字系统设计更加容易上手。

●快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。

●菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。

●信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard )1工程名称:2添加已有文件(没有已有文件的直接跳过next )3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next)5 工程建立完成(点finish)第三步:添加文件(file>new> VHDL file ),新建完成之后要先保存。

第四步:编写程序以实现一个与门和或门为例,Verilog 描述源文件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assign out1=a&b;assign out2=a | b;endmodule 然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis ))点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner ))(注:如果不下载到开发板上进行测试,引脚可以不用分配)双击location为您的输入输出配置引脚。

FPGA设计与应用实验指导书-v2

FPGA设计与应用实验指导书-v2

FPGA设计与应用实验指导书实验一Quartus II开发软件入门一、实验目的1. 熟悉Quartus II 开发软件的基本使用方法2. 掌握用VHDL语言设计组合逻辑电路的方法二、实验内容1. 运用Quartus II 开发软件,完成工程创建、代码编写、程序调试、编译仿真等基本操作。

2. 用VHDL语言设计实现一个3-8译码器,并进行功能仿真验证。

三、实验步骤1.Quartus II 开发软件基本操作(1)创建工程在File菜单下选择New Project Wizard…如图1-1所示。

图1-1 创建工程示意图弹出创建工程选框,选择工程的工作路径,输入工程名称。

如图1-2所示。

注意工程名称的格式要求,同时工程名称默认和顶层实体名称相同,不允许修改。

图1-2 创建工程名称可选择添加已有的程序文件,如图1-3所示。

这里不添加,直接下一步。

图1-3 添加文件选框选择芯片系列和芯片型号,如图1-4所示。

实验中使用的是Altera公司的Cyclone II系列FPGA 芯片,型号为EP2C35F672C6。

如果不进行硬件下载,可直接下一步。

图1-4 芯片参数选框EDA外部工具选框如图1-5所示。

一般选择默认值,直接下一步。

图1-5 EDA外部工具选框完成工程设置后的工程信息如图1-6所示。

图1-6 工程信息选框至此完成工程的创建。

(2)新建VHDL文件在File菜单下选择New选项,如图7所示。

图1-7新建文件示意图点击新建菜单后给出新建文件选框如图1-8所示。

选择VHDL File项创建VHDL文件。

图1-8 新建VHDL文件选框确定后弹出新建VHDL文件编辑窗口如图1-9所示。

可在窗口中编写程序内容并保存,注意文件名称与工程实体名称必须一致。

图1-9 VHDL文件编辑窗口至此完成VHDL文件的创建和VHDL程序的编写。

(3) 程序编译程序编写完成后,选择Processing菜单下的编译工具(Compiler Tool)菜单,如图1-10所示,调出编译工具。

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验
一.实验目的
(1)熟悉QuartusII的原理图设计流程的全过程。

(2)学习简单组合电路的设计方法、输入步骤。

(3)掌握原理图层次化设计方法。

(4)学习EDA设计的仿真和硬件测试方法。

二.实验要求
十进制计数器的设计
设计含有时钟使能的两位十进制计数器,主要通过十进制计数器74160和其他辅助元件来完成,如图1.1所示为两位十进制计数器。

图1.1 用74160设计一个有时钟使能的两位十进制计数器
三.实验操作步骤
(1). 新建项目工程:COUNTER
(2). 新建设计文件:File——New——Block Diagram/Schematic
(3). 工程的编译和综合:通过编译来检查设计文件是否存在错误。

(4). 管脚的分配与下载:在Location中分别将引脚分配芯片引脚并进行全编译,将USB-Blaster下载器与电脑相连,安装好驱动程序,将编译好的文件下载到芯片中,点击Programmer—Hardware Setup设置下载器的驱动程序,在Hardware Setup对话框中选择USB-Blaster0,点击start可完成程序下载,Progress中显示“100%successful”即为下载成功。

四.实验数据结果。

QuartusII操作简略入门

QuartusII操作简略入门
4
5. 将设计项目设置成工程和时序仿真
f_adder.bdf工程设置窗
5
5. 将设计项目设置成工程和时序仿真
加入本工程所有文件
6
5. 将设计项目设置成工程和时序仿真
全加器工程f_adder的仿真波形
7
步骤6:引脚锁定
8
4.4 设计实例
第5步:给输入、输出引脚分配引脚号码,编程下载
(1)对顶层图形文件counter_7seg.bdf 进行引脚锁定;
键2则对应 10K10的第 6脚,可输 入ain,依 次 类推。
13
根据电路结构模式NO.5 查上表,EPF10K10器件对应:
加数 ain : PIO1 -> 键2 \D10对应引脚 -> 6 被加数 binB : PIO0 -> 键1 \D9对应引脚 -> 5
和 sum : PIO8 -> D1对应引脚 -> 17 低位进位 cin : PIO2 -> 键3 \D11对应引脚 -> 7 高位溢出位 cout : PIO9 -> D2 对应引脚 -> 18
键1定义 为:bin
11
显示cout 这里插上的是 10K10目标板
显示电路 模式NO.5
显示sum
按此键选择 电路模式
输入cin
输入ain 输入bin12
对于10K10器件 选此列
对于电路 模式5,键 1对应于 10K10的第 5脚,可输 入bin
19
引脚锁定(实验1)
模式:NO.1
加数a[7..0] : 键4,键3 : PIO15 ~ PIO8 (25,24,23,22,21,19,18,17) ——显示于数码管4,3

实验一 Quartus II基本操作

实验一  Quartus II基本操作

实验一 Quartus II基本操作一、实验目的1.了解Quartus II软件的功能。

2.初步掌握Quartus II的VHDL输入方法。

3.掌握Quartus II编译、功能仿真和时序仿真。

4.掌握Quartus II管脚分配、综合与实现、数据流下载方法。

二、实验内容本实验以8位全加器为例,在Quartus II软件平台上完成设计电路的VHDL文本输入,编辑,编译,仿真,关键分配和编程下载等操作。

下载芯片选择Altera公司的FLEX10K系列的EPF10K10LC84-3器件。

1. VHDL源程序的输入Quartus II环境下,执行“file”的“New Project Wizard”命令,为8位全加器建立设计项目。

项目名称为adder8;出现选择芯片对话框,我们选择FLEX10K系列的EPF10K10LC84-3器件作为仿真芯片;finish完成设置。

Quartus II环境下,执行“file”的“New”命令,在弹出的编辑文件类型对话框中,选择“VHDL File”,ok进入Quartus II文本编辑方式,在文本框中编辑输入8位全加器的VHDL 源程序。

在VHDL源程序中,A和B是两个8位二进制输入信号,CIN是低位进位输入信号,SUM是8位加数之和的输出信号,COUT是向高位进位的输出信号。

2. 设计文件存盘与编译adder8.vhd为文件名将二选一数据的VHDL源程序设计文件保存在工程目录中,*.vhd表示VHDL文本文件。

Quartus II环境下,执行“Processing”的“start Compilation”命令,或者在主窗口上直接单击“start Compilation”按钮,对adder8.vhd设计文件进行编译。

如果输入无语法错误的话,编译完成后的结果如下图所示:adder8所占用的EPF10K10LC84-3芯片宏单元的2%,占用引脚数为44%,没有用存储单元。

实验一 Quartus II软件的基本操作

实验一 Quartus II软件的基本操作

实验一Quartus II软件的基本操作一、实验目的:熟悉Quartus II软件的基本操作。

二、实验内容1.熟悉Quartus II软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)2.用逻辑图和VHDL语言设计一个异或门。

3.用逻辑图和VHDL语言设计三态门,三态门的使能端对低电平有效。

三、实验步骤。

(一)、异或门和三态门的逻辑图。

1.异或门:逻辑图:2.三态门逻辑图(二)用VHDL语言设计8-3编码器、参数化的译码器。

1.异或门:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity xor2 isport(a,b:in STD_LOGIC;c : out STD_LOGIC);end entity xor2;architecture bhv of xor2 isbeginc<=a xor b;end architecture bhv;2.三态门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY tri_s ISPORT(enable,datain : IN STD_LOGIC;dataout : OUT STD_LOGIC);END tri_s;ARCHITECTURE bhv OF tri_s ISBEGINPROCESS (enable,datain)BEGINIF enable = '1' THEN dataout <= datain;ELSE dataout <='Z';END IF;END PROCESS;END bhv;四、实验仿真结果。

1.异或门:2.三态门:五、总结。

通过直接画逻辑图的方式来实现实验会特别方便,而VHDL语言有点麻烦,可能是因为没有怎么具体学习和使用过吧,什么数据流方式,结构体方式,行为方式还不太分得清,接下来需要努力了。

QuartusII使用入门及FPGA设计流程

QuartusII使用入门及FPGA设计流程

第3章Quartus II使用入门及FPGA设计流程Quartus II可编程逻辑开发软件是Altera公司为其FPGA/CPLD芯片设计推出的专用开发工具,是Altera公司最新一代功能更强的EDA开发软件,可完成从设计输入,综合适配,仿真到下载的整个设计过程。

Quartus II提供了一个完整的多平台开发环境,它包含FPGA和CPLD整个设计阶段的解决方案。

Quartus II集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件设计、综合、布局和布线,验证和仿真。

Quartus II也可以直接调用Synplify Pro、ModelSim等第三方EDA 工具来完成设计任务的综合与仿真。

Quartus II与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,方便快捷。

Quartus II还内嵌SOPC Builder,可实现SOPC系统的开发。

Quartus II 9.0主界面如图3.1示。

图 3.1 Quartus II 9.0界面3.1 Quartus II 基本设计流程Quartus II 设计的主要流程包括创建工程、设计输入、分析综合、编译、仿真验证、编程下载等,其一般流程如图 3.2所示。

下面以硬件描述语言输入法设计计数器为例,说明Quartus II 的设计流程。

3.1.1 创建工程使用Quartus II 设计电路被称作工程。

Quartus II 每次只进行一个工程,并将该工程的全部信息保存在同一个文件夹中。

开始一项新的电路设计,首先要创建一个文件夹,用以保存该工程的所有文件。

之后便可通过Quartus II 的文本编辑器编辑Verilog 源文件并存盘。

3.1.2 设计输入Quartus II 中包含原理图输入和硬件描述语言输入两种方法。

(1)原理图输入原理图输入的优点是,设计者不必具有诸如编译技术、硬件描述语言等新知识就能迅速入门,完成较大规模的电路系统的设计,且具有直观,易于理解的特点,适合于初学者使用。

quartusii入门实验课程设计

quartusii入门实验课程设计

quartusii入门实验课程设计一、课程目标知识目标:1. 理解Quartus II软件的基本功能与操作界面,掌握软件的基本使用方法;2. 学习并掌握FPGA设计的基本流程,包括设计输入、编译、仿真和硬件测试;3. 了解Verilog HDL硬件描述语言的基本语法和结构,能够编写简单的硬件描述程序。

技能目标:1. 能够独立使用Quartus II软件完成FPGA设计的输入、编译和硬件测试;2. 学会使用Verilog HDL语言进行基本的硬件描述,具备一定的FPGA编程能力;3. 掌握通过Quartus II软件进行硬件设计的调试方法,能够解决简单的设计问题。

情感态度价值观目标:1. 培养学生对电子设计及FPGA技术的兴趣,激发学习热情和探究精神;2. 培养学生的团队合作意识,提高沟通与协作能力;3. 培养学生严谨、细致的学习态度,注重实践操作能力的培养。

课程性质:本课程为入门实验课程,以实践操作为主,理论讲解为辅,使学生在实践中掌握知识,提高技能。

学生特点:学生处于初级阶段,对FPGA技术有一定的好奇心,但知识储备和实际操作经验有限。

教学要求:结合学生特点,注重理论与实践相结合,循序渐进地引导学生掌握Quartus II软件的使用和FPGA设计的基本技能。

同时,关注学生的情感态度价值观培养,提高学生的学习兴趣和积极性。

通过具体的学习成果评估,确保课程目标的实现。

二、教学内容1. Quartus II软件概述:介绍Quartus II软件的功能特点、操作界面及基本设置。

教材章节:第一章 软件概述2. FPGA设计流程:讲解FPGA设计的基本流程,包括设计输入、编译、仿真和硬件测试。

教材章节:第二章 FPGA设计流程3. Verilog HDL基础:学习Verilog HDL的基本语法、数据类型、运算符和基本结构。

教材章节:第三章 Verilog HDL基础4. 设计输入与编译:学习如何使用Quartus II软件进行设计输入,以及编译过程中需要注意的问题。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一-QUARTUS-II入门和分频器设计
实验报告
课程名称EDA技术与VHDL设计
实验项目Quartus II入门
实验仪器计算机、Quartus II
系别信息与通信工程学院
专业电子信息工程
班级/学号电信1201 / 2012010970 学生姓名张宗男
实验日期
成绩
指导教师
实验一 QUARTUS II入门和分频器设计
一、实验目的
1.掌握QUARTUS II工具的基本使用方法;2.掌握FPGA基本开发流程和DE2开发板的使用方法;
3.学习分频器设计方法。

二、实验内容
1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。

2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。

三、实验环境
1.软件工具:QUARTUS II 软件;开发语言:VHDL;2.硬件平台:DE2实验开发板。

四、实验过程
1.设计思路
(1)、
18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、
实现10分频IF(count="1001") THEN
count<="0000";
clk_temp<=NOT clk_temp;
达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。

2.VHDL源程序
(1)、
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY e_zhangzongnan IS
PORT(SW :IN STD_LOGIC_VECTOR(0 TO
17);
HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);
LEDR :OUT STD_LOGIC_VECTOR(0 TO 17));
END e_zhangzongnan;
ARCHITECTURE Behavior OF e_zhangzongnan IS SIGNAL temp :STD_LOGIC_VECTOR(0 TO 3); BEGIN
LEDR<=SW;
temp(3)<=SW(0);
temp(2)<=SW(1);
temp(1)<=SW(2);
temp(0)<=SW(3);
PROCESS(temp)
BEGIN
CASE temp IS
WHEN "0000"=>HEX0<="0000001";
WHEN "0001"=>HEX0<="1001111";
WHEN
"0010"=>HEX0<="0010010";
WHEN "0011"=>HEX0<="0000110"; WHEN "0100"=>HEX0<="1001100"; WHEN "0101"=>HEX0<="0100100"; WHEN "0110"=>HEX0<="0100000"; WHEN "0111"=>HEX0<="0001111"; WHEN "1000"=>HEX0<="0000000"; WHEN "1001"=>HEX0<="0000100"; WHEN OTHERS=>HEX0<="1001000";
END CASE;
END PROCESS;
END Behavior;
(2)、
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY f_zhangzongnan IS
PORT(clk: IN STD_LOGIC;
clk_div10: OUT STD_LOGIC);
END ENTITY f_zhangzongnan;
ARCHITECTURE rtl OF f_zhangzongnan IS
SIGNAL count: STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL clk_temp: STD_LOGIC;
BEGIN
PROCESS(clk)
BEGIN
IF(clk'event AND clk='1') THEN IF(count="1001") THEN
count<="0000";
clk_temp<=NOT clk_temp;
ELSE
count<=count+1; END IF;
END IF;
END PROCESS;
clk_div10<= clk_temp;
END ARCHITECTURE rtl;
实验结果分析
(1)、
能够实现相应的功能。

(2)、
从波形图可以看出,能够实现10分频。

六、实验总结
通过这次实验,我对QUARTUS的新建,输代码,运行,仿真,烧写,和学习板的操作都相应的有了认识和学习,通过代码的编写和修改,对EDA语言有了比课堂上更加深刻的认识和掌握,为以后的学习奠定了一定的基础。

相关文档
最新文档