八路彩灯控制器的设计
EDA课程设计:八路彩灯控制器
EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。
2.增强自己实际动手能力,独立解决问题的能力。
3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。
设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。
三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。
三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。
(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。
(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。
四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。
二选一模块:选择两种频率中的一个控制彩灯的花型。
8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。
2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。
八路彩灯课程设计八路彩灯控制器的设计
八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
⑵掌握计数、译码、显示综合电路的设计与调试方法。
⑶掌握实际输出电路不同要求的实现方法。
2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。
⑵八路彩灯从右向左逐次渐灭,间隔为1秒。
⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。
3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。
⑵选择元器件型号。
⑶画出总逻辑图和装配图,并在实验板上组装电路。
⑷进行电路调试,使其达到设计要求。
⑸写出总结报告。
4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。
二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
8彩灯控制器课程设计
8彩灯控制器课程设计一、课程目标知识与理解:1. 学生能理解8彩灯控制器的基本原理,掌握其电路组成及功能。
2. 学生能描述8彩灯控制器的编程方法,了解与掌握相关编程语言的运用。
3. 学生了解8彩灯控制器在现实生活中的应用,认识到其在科技领域的重要性。
技能与运用:1. 学生能够独立完成8彩灯控制器的组装,并运用所学知识进行调试。
2. 学生能够运用编程语言对8彩灯控制器进行编程,实现不同的灯光效果。
3. 学生能够运用8彩灯控制器解决实际问题,培养创新意识和动手能力。
情感态度与价值观:1. 学生在课程学习中培养对电子科技的兴趣,激发学习热情。
2. 学生通过团队合作,培养沟通、协作能力和集体荣誉感。
3. 学生在创作过程中,体验科技与艺术的结合,提高审美情趣。
课程性质:本课程为电子技术与编程实践课程,注重理论知识与实际操作相结合,培养学生的动手能力、创新意识和实际问题解决能力。
学生特点:学生处于中学阶段,具备一定的电子基础和编程知识,对新鲜事物充满好奇心,喜欢动手实践。
教学要求:教师需引导学生通过理论学习和实践操作,掌握8彩灯控制器相关知识,注重培养学生的实际操作能力和创新精神。
同时,关注学生的情感态度价值观的培养,使学生在课程学习中获得全面发展。
二、教学内容1. 8彩灯控制器基础知识:- 电路原理与组成:介绍8彩灯控制器的电路结构、元件功能及其相互关系。
- 控制器编程语言:学习控制器编程的基础语法,掌握编程方法。
2. 实践操作:- 8彩灯控制器组装:按照电路图完成控制器组装,学习使用相关工具和仪器。
- 灯光编程与调试:运用编程语言,设计不同的灯光效果,并进行调试。
3. 应用与创新:- 实际案例分享:分析8彩灯控制器在现实生活中的应用案例,激发学生创新意识。
- 创意设计实践:指导学生运用所学知识,完成创意8彩灯控制器设计。
教材章节关联:1. 电路原理与组成:对应教材第3章“电子电路基础”。
2. 控制器编程语言:对应教材第5章“编程基础与技巧”。
八路彩灯控制器 课程设计
目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。
2)掌握用单片机设计系统的一般步骤。
3)了解LED数码管的基本知识和驱动方法。
4)掌握单片机系统各个组成部分的作用以及分布位置。
5)学会运用单片机的硬件资源。
1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。
要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。
时间节拍为1秒。
2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。
时间节拍为1秒。
3)循环往复,用LED管模拟彩灯。
4)用汇编语言编程,用proteus仿真。
1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。
由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。
若查到的内容为跳出代码就重新开始循环。
1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。
硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。
数电课程设计报告八路花样灯控制器的设计
数电课程设计报告八路花样灯控制器的设计学校:班级:成员:指导老师:2012.6.24目录一、设计要求 (3)二、设计目的 (3)三、技术指标 (3)四、元件清单 (3)五、电路框图 (4)六、单元电路设计 (4)七、总电路图 (7)八、问题及解决办法 (8)九、心得体会 (9)十、参考文献 (9)十一、附录(此次的课程设计的部分花样变化图) (10)一、设计要求:1、有一个时钟电路。
2、有八个LED发光二极管输出电路。
3、至少16种变化的花样控制。
二、设计目的:1、巩固和加深对电子电路的基本知识的理解,提高综合运用本课程所学的知识的能力。
2、培养根据设计需要选学参考书籍,查阅相关手册,图表和文献资料的自学能力。
3、通过电路的方案分析,论证和比较,设计计算选取元件,电路组装,调试等环节初步掌握简单实用电路的分析方法和工程设计方法。
4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电路实验的基本技能三、技术指标:设计一个有八个LED灯输出花样的控制器电路,其中有三个LED灯受74ls194芯片控制,4个LED灯受74ls160芯片控制,最后一个LED灯由两片74ls160芯片组成的二十三进制计数器的进位来控制。
从第十个时钟脉冲开始第一片74LS160的Q0端变成高电平。
此时74LS194开始移位。
通过对电源的通断来控制复位。
另外三个主要芯片统一由一个时钟芯片来控制,进而达到同步工作。
最后加上一个非门和一个与非门等完成了八路花样灯控制器的设计。
进而实现了八路LED的输出。
并且该控制器共有32种变化的花样。
(附录有其中18种花样)四、元器件清单:五、电路框图六、单元电路的设计我们设计的八路花样灯控制器共分为四大模块。
它们分别是:时钟电路模块、74LS194移位寄存器模块、主控制模块(由两片74LS160构成)、显示模块(8个发光二级管LED)(1)时钟电路图(1)图(1)所示是用555定时器接成的多谐振荡器,其分析如下:启动Multisim 11程序,我们从混合器件库(Mixed)中的定时器件(Timer)中取出 555 定时器,从工具栏中找出电阻R、电容C、地及电源VDD信号。
8彩灯控制器课程设计
8彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握8彩灯控制器的基本原理,包括电路组成、工作流程及功能特点。
2. 学生能描述8彩灯控制器的编程方法,运用相关指令实现不同灯光效果的切换。
技能目标:1. 学生能够独立完成8彩灯控制器的组装和调试,具备实际操作能力。
2. 学生能够运用已学知识,设计出具有创意的8彩灯控制程序,实现个性化灯光效果。
情感态度价值观目标:1. 学生通过学习8彩灯控制器,培养对电子技术和编程的兴趣,激发创新意识和探索精神。
2. 学生在团队合作中,学会相互沟通、协作,培养集体荣誉感和责任感。
课程性质:本课程为电子技术实践课程,注重理论与实践相结合,强调学生的动手能力和创新能力。
学生特点:六年级学生具备一定的电子技术基础,对新事物充满好奇心,喜欢动手实践,但需引导培养团队合作意识。
教学要求:教师应关注学生的个体差异,提供个性化指导,鼓励学生积极参与,充分发挥学生的主观能动性。
同时,注重培养学生的安全意识,确保实践活动顺利进行。
通过本课程的学习,使学生将理论知识与实际操作相结合,提高综合素养。
二、教学内容本课程教学内容主要包括以下几部分:1. 8彩灯控制器原理介绍:讲解控制器的基本组成、工作原理及功能特点,对应教材第3章“智能控制器原理与应用”。
2. 电路组成与连接:学习如何识别并连接8彩灯控制器所需元器件,掌握电路搭建方法,对应教材第4章“电子电路的搭建与调试”。
3. 编程方法与指令:学习8彩灯控制器的编程方法,掌握相关指令,实现灯光效果的切换,对应教材第5章“控制器编程与应用”。
4. 实践操作:分组进行8彩灯控制器的组装、调试与编程,培养实际操作能力,对应教材第6章“实践项目:智能控制器应用”。
5. 创意设计与展示:鼓励学生运用所学知识,设计具有创意的8彩灯控制程序,进行作品展示,对应教材第7章“创新设计与实践”。
教学进度安排如下:1. 第1课时:8彩灯控制器原理介绍,电路组成与连接。
8路彩灯控制器设计详细讲解(附图)
8路彩灯控制器设计详细讲解(附图)学校:北华大学班级:信息 11-2姓名:王杰学号:28号指导教师:曲萍萍实验日期:2013.5.20—5.24一、软件内容二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得六、参考文献一、软件内容MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。
它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。
MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。
MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。
二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。
2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3、了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4、培养认真严谨的工作作风和实事求是的工作态度。
5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。
具体要求如下:1、8路彩灯的循环花型如下表所示;2、节拍变化的时间为0.5s和0.25s,两种节拍交替运行;3、三种花型要求自动循环显示移存器输出状态编码表节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 1111100013 1111000014 1110000015 1100000016 10000000三、设计原理过程(1)总体方案的设计; 在方案一的基础上将整体电路分为四块。
八路循环彩灯控制电路设计
八路循环彩灯控制电路设计八路循环彩灯控制电路设计是一种常见的电子电路设计,用于控制多个彩灯按照一定的循环模式进行亮灭。
在本文中,我们将一步一步回答相关问题,帮助读者了解八路循环彩灯控制电路的设计原理及其实现方式。
第一部分:八路循环彩灯控制电路设计原理介绍八路循环彩灯控制电路是一种利用计时器和逻辑门等元件实现的电子电路,可以实现多个彩灯按照一定的循环模式进行亮灭。
其设计原理主要包括以下几个方面:1.计时器的应用:计时器是八路循环彩灯控制电路中的核心元件之一。
通过计时器的设置,可以控制彩灯的亮灭时间,并实现循环模式。
常见的计时器有555定时器、NE555定时器等。
2.逻辑门的应用:逻辑门是八路循环彩灯控制电路中的另一个重要元件。
逻辑门用于判断彩灯亮灭的逻辑关系,并通过逻辑门的输出来控制彩灯的状态。
常见的逻辑门有与门、或门、非门等。
3.多路控制信号的生成:八路循环彩灯控制电路需要产生多路控制信号,用于控制多个彩灯的亮灭。
这些控制信号可以通过组合逻辑电路、编码器等实现。
第二部分:八路循环彩灯控制电路设计步骤在了解了八路循环彩灯控制电路的设计原理后,我们可以按照以下步骤进行具体的电路设计:1.确定彩灯的数量:首先需要确定需要控制的彩灯数量,以便选择合适的计时器和逻辑门。
2.选择计时器:根据彩灯的控制需求和电路设计的复杂度,选择合适的计时器。
在本设计中,我们选择使用555定时器。
3.设计计时器电路:根据彩灯的亮灭时间和循环模式要求,设计计时器电路。
通过调整计时器的参数,如电容、电阻值,可以控制彩灯的亮灭时间。
4.生成控制信号:根据彩灯的数量,设计多路控制信号的生成电路。
可以使用组合逻辑电路、编码器等进行设计。
5.选择逻辑门:根据彩灯的亮灭逻辑关系,选择合适的逻辑门。
在本设计中,我们选择使用与门。
6.设计逻辑门电路:根据彩灯的亮灭逻辑关系,设计逻辑门电路。
通过逻辑门的输出,控制彩灯的状态。
7.完成电路布局和连线:根据电路设计图,完成电路的布局和连线。
数字电路课程设计--八路彩灯控制实验
《数字电子技术》课程设计报告八路彩灯控制实验要求:一、功能要求设计一个8路移存型彩灯控制器,基本要求:1. 8路彩灯能演示三种花型(花型自拟);2. 彩灯用发光二极管LED模拟;二、设计要求1、根据设计要求选择合适的元器件,并掌握其使用方法;2、画出电路原理图(或仿真电路图);3、先进行电路的仿真与调试,通过后才能答辩;4、画出PCB图。
三、制作要求自行装配和调试,具有一定的分析和解决问题的能力。
四、设计报告的编写要求编写设计报告,附上有关资料和图纸,并谈谈自己心得和体会。
姓名:张双学号:1886100128 专业:电子科学与技术班级:电子101班成绩:评阅人:安徽科技学院理学院物电系八路彩灯控制实验彩灯电路实验将传统的4个分离的电路移位寄存器,计数器(分频器),555和组合电路实验综合为一个完整的设计型的时序、组合电路综合实验。
电路中包含8组发光管(每组发光二极管的数量可以根据需要,通过串并联和加电阻等控制调节),74LS161(四位二进制同步计数器)、74LS194(移位寄存器)、74LS151(八选一数据选择器)、74LS74(双D 触发器)、74LS00(四二输入与非门)、74LS04(六非门)、555 等其他元件组合而成。
由二片移位寄存器194实现。
其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。
而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。
一、设计要求设计一个8路移存型彩灯控制器,基本要求:1. 8路彩灯能演示三种花型(花型自拟);2. 彩灯用发光二极管LED模拟;二、原理框图(1)总体方案的设计总体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:花型控制电路:由1614位二进制同步计数器完成;花型演示电路:由195 双向移位寄存器完成(可左移右移完成花型变化);节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。
数电课程设计-八路彩灯控制器
湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级 1102学号 201101010210姓名郭昕指导教师田莉2013年 12月 27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:201101010210指导老师:田莉审批:田莉任务书下达日期 2013年 12月 16日设计完成日期 2013年 12月 27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。
(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
实验八 八路彩灯控制器设计
实验八八路彩灯控制器设计信息学院电信0903 王宁200948300327实验题目:八路彩灯控制器设计实验目的:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花型循环变化。
两种节拍分别为0.25s和0.5s。
三种花型分别是:(1)8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。
(2)从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。
(3)8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。
实验原理:根据功能要求,可将8路彩灯控制器的输出按花形循环要求列成表格,见下表。
其中,Q7~Q0是控制器输出地8路彩灯的控制信号,高电平时彩灯亮。
状态标志flag是为了便于有规律地给8路输出赋值而设立的不同花形的检测信号。
4HZ的时钟脉冲二分频,得到一个2HZ的时钟脉冲,让这两种时钟脉冲交替来控制花形循环即可。
这种设计思想就体现在下图所示的顶层原理图中。
图中,FEN2是二分频器,MUX21是二选一多路选择器,CD是8路彩灯的三种花形控制器,它们的VHDL源程序分别如下。
(1)8路彩灯的三种花型控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(clk)V ARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000";V ARIABLE jp1: STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENIF flag="000"THENq<='1'&q(w DOWNTO 1);IF q(1)='1'THENflag:="001";END IF;ELSIF flag="001"THENq<=q(w-1 DOWNTO 0)&'0';IF q(6)='0'THENflag:="010";END IF;ELSIF flag="010"THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'1';q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1);IF q(1)='1'THENflag:="011";END IF;ELSIF flag="011"THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'0';q(w-4 DOWNTO 0)<='0'&q(w-4 DOWNTO 1);IF q(1)='0'THENflag:="100";END IF;ELSIF flag="100"THENq(w DOWNTO 4)<='1'&q(w DOWNTO 5);q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1);IF q(1)='1'THENflag:="101";END IF;ELSIF flag="101"THENq<="00000000";jp1:=NOT jp1;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;(2)二选一多路选择器模块MUX21 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux21 ISPORT(A,B,S:IN STD_LOGIC;Y:OUT STD_LOGIC);END mux21;ARCHITECTURE ar OF mux21 ISBEGINPROCESS(A,B,S)BEGINIF S='0'THENY<=A;ELSEY<=B;END IF;END PROCESS;END ar;(3)二分频模块FEN2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY fen2 ISPORT(clk:IN STD_LOGIC;clkk:OUT STD_LOGIC);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk)V ARIABLE clkk1:STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENclkk1:=NOT clkk1;END IF;clkk<=clkk1;END PROCESS;END behav;实验步骤:(1)在Untitled1-Text Editor文本编辑窗口输入8路彩灯的三种花型控制模块CD的程序。
8路彩灯控制器课程设计
8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。
本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。
本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。
2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。
系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。
•支持闪烁效果的设置和控制。
•可以通过串口或无线通信进行远程控制。
3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。
•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。
•电源模块:用于为Arduino和彩灯模块提供电源。
3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。
•将彩灯模块的电源引脚连接到电源模块的输出端。
•将Arduino的GND引脚与电源模块的GND引脚相连。
4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。
2.进入主循环,等待指令。
3.接收指令并解析,根据指令类型执行相应操作。
4.执行完毕后返回主循环。
4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。
•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。
•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。
4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。
八路彩灯课程设计论文
本课程的设计标题:彩灯控制器设计西贝信息工程系学生 _________________________专业化产电气工程及其自动化业 __________________________雪豪教师 _________________________职称高级工程师2020年6月22日彩灯控制器设计摘要本方案提出了一种基于8051单片机的彩灯控制方案,实现对LED彩灯的控制。
该方案以8051单片机为主控核心,主控模块配有3个按键和8个代码LED显示灯。
根据需要, 可编程多种照明模式,1 ms的基本单位时间被其定时器TO中断。
根据各种照明时间的不同需要,在不同的时间输出灯亮或灭的控制信号,进而驱动各种颜色的灯亮或灭。
与普通LED彩灯相比,具有体积小、价格低、能耗低的优点。
关键词:单片机;智能控制;LED彩灯;汇编语言目录顺序1章绪论11.1 设计的目的和意义11.2 主要设计容1顺序2章系统总体设计22. 1总体方案设计22.2系统硬件设计22. 2.1电路设计22. 2. 2元器件介绍32. 2.3外部晶振电路42. 3系统软件设计52. 3.1设计思路5流程图52. 3. 3原程序6顺序3章实验结果73. 1实际现象73.2结果分析8顺序4章总结9致10参考文献11第一章引言1.1设计的目的和意义通过课程设计的教学实践,将课本上学到的知识运用到实践中,理论与实践相结合, 进一步掌握单片机应用系统的相关知识,加深对单片机工作原理的理解。
掌握单片机应用系统的设计、制作和调试方法。
使自己的设计水平和学以致用的能力,分析问题和解决问题的能力得到全面提升。
现在市面上各种彩灯,种类繁多,风格各异,可以满足人们的需求。
但是,它们的原理和工作方法有很大的相似之处。
本实验的目的是使我们能够全面、实际、深刻地理解彩灯的工作原理,学会简单的彩灯电路的连接。
了解、熟悉、掌握彩灯所需的几种必备器件的应用,如它们的工作原理、布局、介绍、外观美化等。
8路彩灯移存型控制器的设计
8路彩灯移存型控制器的设计一、实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。
2.熟悉并掌握用移位寄存器设计彩灯控制的方法。
二、设计任务与要求设计一个8路彩灯控制系统,要求:1.彩灯明暗变换的节拍为0.25和0.5s,两种节拍交替运行。
2.彩灯演示花型为8种(花型自拟)。
3.彩灯用发光二极管模拟。
三、设计过程设计包括三个模块:1.做出一个可以输出频率分别为2Hz(0.5s)和4Hz(0.25s)的时钟。
利用函数信号发生器,可以直接得到一个4Hz的方波,现在需要考虑设计出2Hz的输出,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity clock2 is %不同输出频率的时钟的实体port(-- Input portsclk : in std_logic;-- Output portsclk25:out std_logic;clk50:out std_logic);end clock2;architecture one of clock2 issignal clk50a:std_logic;signal divide_num:bit; %二进制beginprocess(clk)beginif(clk'event and clk='1')thenif (divide_num='1')thenclk50a<='0';divide_num<='0';elseclk50a<='1';divide_num<='1';end if;end if;end process;clk50<=clk50a;clk25<=clk;end one;编译通过后,将其原件例化,得到我们所需要的模块1器件:2.做出彩灯实现部分,参考书上例题,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity colorlight8 isport(-- Input portsclk0 : in std_logic;reset :in std_logic;-- out1:out std_logic;-- out2:out std_logic;-- out3:out std_logic;-- outnum:out integer;-- outnum1:out integer;cout : out std_logic_vector(7 downto 0));end colorlight8;architecture one of colorlight8 issignal lights:std_logic_vector(7 downto 0):="11111111"; signal conditon_num:integer range 0 to 36 ;begincout<=lights;process(clk0,reset)beginif (reset='0') thenconditon_num<=0;elsif(clk0'event and clk0='1')thenif(conditon_num<36) thenconditon_num<=conditon_num+1;elseconditon_num<=0;end if;end if;end process;process(conditon_num)begincase conditon_num iswhen 0 =>lights<="00000000";when 1=>lights<="10000000";when 2=>lights<="11000000";when 3=>lights<="11100000";when 4=>lights<="11110000";when 5=>lights<="11111000";when 6=>when 7=>lights<="11111110";when 8=>lights<="11111111"; when 9=>lights<="00000000";when 10=>lights<="11000000";when 11=>lights<="00110000";when 12=>lights<="00001100";when 13=>lights<="00000011"; when 14=>lights<="00000000";when 15=>lights<="11110000";when 16=>lights<="00001111"; when 17=>when 18=>lights<="10101010";when 19=>lights<="01010101"; when 20=>lights<="00000000";when 21=>lights<="00011000";when 22=>lights<="00111100";when 23=>lights<="01111110";when 24=>lights<="11111111"; when 25=>lights<="00000000";when 26=>lights<="10001000";when 27=>lights<="01000100";when 28=>lights<="00100010";when 29=>lights<="00010001"; when 30=>lights<="00000000";when 31=>lights<="11110000";when 32=>lights<="00111100";when 33=>lights<="00001111"; when 34=>lights<="00000000";when 35=>lights<="10011001";when 36=>lights<="01100110";end case;end process;end one;同理,将其例化:3.做出选择频率的装置:在模块2里,每两个花型之间,用‘00000000’分界,所以以这个为标志,做为选择频率的分界点——即当出现‘00000000’的时候,反馈给选频模块,使它可以变换频率,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity selclock isport(-- Input portsclk25:in std_logic;--clk50:in std_logic;cin:in std_logic_vector(7 downto 0);clock0:out std_logic);end selclock;architecture one of selclock issignal num:std_logic;signal temp:std_logic;begintemp<=cin(7) or cin(6) or cin(5) or cin(4) or cin(3) or cin(2) or cin(1) or cin(0);process(temp)beginif(temp'event and temp='0')thennum<=not num;end if;end process;with num selectclock0<=clk25 when '0',clk50 when '1','1' when others;end one;例化结果如下:现在连接电路:编译通过,进行仿真!四、仿真结果与预期效果一样,八种花型,每两种的频率发生一次改变。
8路彩灯控制器的设计说明书
word格式整理版电子技术(下)课程设计任务书目录摘要 (1)1 绪论 (2)2 总体方案设计 (3)2.1 振荡电路部分 (3)2.2 数列循环部分 (3)2.3 数列显示部分 (3)3 单元模块设计 (4)3.1 脉冲信号产生模块 (6)3.2 快慢节拍控制电路模块 (7)3.3 计数电路模块 (8)3.4 花型控制电路模块 (9)4 系统调试和功能 (36)4.1 系统调试 (36)4.2 功能显示 (37)5 分析和总结 (43)附录一:工具清单 (37)附录二:元器件清单 (37)附录三:主要元器件的引脚图和功能表 (37)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。
本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。
通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。
关键词:振荡电路;脉冲信号;分频电路;计数电路;花环电路;彩灯;AbstractThrough the design of many changing lights to deepen our understanding and application of many main parts of integrated circuit. This experiment produce seconds pulse signal mainly by the oscillating circuit .And through the points frequency circuit, count circuit, control and display circuit patterns to output by the lights. Through the design of the system, the selection, the parameters of the component selection, etc, to deepen our understanding of the main device pins distribution and function, so as to improve the overall level of electronic design ability.Key words:Oscillating circuit; The pulse signal; Points frequency circuit; Counts circuit; Garland circuit; Lights;第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。
八路循环彩灯控制电路设计
课程设计报告书试验大致思路如下:3.器件管脚分配图:图1(4017管脚分配图)CD4017是十进制计数器,它包含译码器。
计数器在时钟禁止输入为低电平时,在时钟脉冲上升沿进位。
在时钟禁止输入为高电平时,时钟被禁止。
复位输入为高电平时,时钟输入独立运行。
该芯片是一个十进制分配器,只要在其脉冲信号输入端接入脉冲信号,每来一个脉冲信号时,该芯片就会从Q0~~Q9~~Q0循环发出高电平,并且能够保持这个脉冲信号没有结束时,一直是高电平。
由此可知,该芯片能够运用于控制端或者是用于循环彩灯等等方面的应用。
引出端功能符号CO:进位脉冲输渊CP:时钟输入端CR:清除端INH:禁止端Q0-Q9 计数脉冲输出端VDD:正电源VSS:地真值表输入输出CP INH CR Q0-Q9 CO× × H Q0↑L LH ↓L计数计数脉冲为Q0-Q4时:CO=HL × L× H L↓× L×↑L保持计数脉冲为Q5-Q9时:CO=L图2(4069管脚分配图)CD4069又称为六反向器,广泛运用于各种电路设计中。
当Vcc=5~10V时,C110uFU1A 4069BCL_5V U2B 4069BCL_5V R210kΩR1200kΩ1234图4图4为电路中的一部分,是用来产生时钟脉冲的多谐振荡器,它仿真图如下图5整个电路的仿真图如下;。
8路plc彩灯控制课程设计
8路plc彩灯控制课程设计一、课程目标知识目标:1. 学生能理解8路PLC彩灯控制的基本原理,掌握PLC编程的基本指令;2. 学生能描述8路PLC彩灯控制系统的电路连接方式,了解各元件的功能;3. 学生了解自动化控制系统中PLC的应用,掌握相关术语和概念。
技能目标:1. 学生能够运用PLC编程软件进行8路彩灯控制程序的编写和调试;2. 学生能够根据实际需求设计简单的8路PLC彩灯控制方案;3. 学生能够通过小组合作,解决实际操作过程中遇到的问题。
情感态度价值观目标:1. 学生培养对自动化控制技术的兴趣,激发创新意识;2. 学生在团队协作中,学会相互尊重、沟通和解决问题,增强合作意识;3. 学生认识到科技发展对社会进步的重要性,树立正确的价值观。
课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生对PLC控制技术的应用能力。
学生特点:八年级学生对电子技术有一定的基础,好奇心强,喜欢动手操作,但需引导他们掌握正确的学习方法。
教学要求:注重理论与实践相结合,充分调动学生的积极性,引导他们主动探索,提高解决问题的能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能达到课程目标。
通过课程目标的分解,使学生在学习过程中获得具体的学习成果,为后续教学设计和评估提供依据。
二、教学内容本章节教学内容主要包括以下三个方面:1. PLC基础知识:- PLC的基本结构、工作原理和性能特点;- PLC编程软件的使用方法,基本指令的学习;- 介绍与8路彩灯控制相关的基础知识,如输入输出接口、继电器等。
2. 8路PLC彩灯控制电路设计:- 电路原理图的绘制,电路连接方法;- PLC与各元件的接线方式,如电源、输入输出端口等;- 介绍教材中相关章节内容,结合实际案例进行分析。
3. PLC编程与调试:- 编写8路彩灯控制程序,学习梯形图编程方法;- 调试程序,观察并解决实际问题;- 教学大纲中明确各阶段的学习内容和进度,确保学生循序渐进地掌握知识。
8路彩灯控制器课程设计
8路彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解8路彩灯控制器的基本原理,掌握相关电子元件的功能和连接方式。
2. 学生能描述8路彩灯控制器的电路图,并解释其工作原理。
3. 学生了解8路彩灯控制器在现实生活中的应用,并能够举例说明。
技能目标:1. 学生能够正确使用工具和仪器进行8路彩灯控制器的组装和调试。
2. 学生通过动手实践,掌握基本的电路故障排查和解决问题的方法。
3. 学生能够运用所学知识,设计简单的8路彩灯控制程序,实现不同的灯光效果。
情感态度价值观目标:1. 培养学生对电子制作和编程的兴趣,激发创新意识和探索精神。
2. 培养学生团队协作意识,学会与他人共同解决问题,提高沟通与表达能力。
3. 增强学生对科技与生活的联系的认识,培养环保意识和责任感。
课程性质分析:本课程属于电子技术与应用领域,结合实际操作,注重培养学生的动手能力、创新思维和实际应用能力。
学生特点分析:初中年级的学生对新鲜事物充满好奇心,动手能力强,但理论知识相对薄弱,需要通过实践操作来加深理解。
教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力。
2. 教学过程中注重启发式教学,引导学生主动探究、发现问题、解决问题。
3. 关注学生的个体差异,提供个性化的辅导,使每个学生都能在课程中收获成长。
二、教学内容1. 电子元件认知:介绍常用电子元件如电阻、电容、二极管、三极管等,结合教材相关章节,让学生了解其功能及在8路彩灯控制器中的作用。
2. 电路原理:分析8路彩灯控制器的电路图,讲解各部分电路的功能及相互关系,对应教材中电路分析的内容。
3. 组装与调试:指导学生按照电路图组装8路彩灯控制器,学习焊接、接线等基本技能,参照教材相关章节进行实践操作。
4. 编程与控制:介绍简单的编程方法,使学生能够通过编程实现不同的灯光效果,结合教材中编程与控制部分的内容进行教学。
5. 故障排查:教授学生如何分析并解决8路彩灯控制器在运行过程中可能出现的故障,运用教材中故障排查技巧进行实践。
八路循环彩灯控制电路设计
八路循环彩灯控制电路设计项目项目名称:八路循环彩灯控制电路设计指导老师:姓名:学号:班级:课题名称:8路循环彩灯控制电路1)设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。
2)设计方案将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮a用框冬衣?5如下af振荡器| 一 |计数器|二译码器|〜氏路彩灯f3)单元电路设计参考1、振荡器设计用集成555电路构成多谐振荡器。
电路如下图所示。
若选用四位二进制计数器,要达到设计要求,振荡周期T应为比c COQ 3 CTr LD 1秒。
7 = 0・7(尺十2毘疋若取R 尸R 2 =R ,则T^2RCo当取OlOOnF 时,R T/ (20 =0.5/C=5K Q一般电路中,取Ci=0.01uF5)计数器的选用选四位二进制计数器74LS161.其引脚功能如下图 所示°ii51Ji4l [13! [121 [l lHlO' £9174LS161/CC40161AJUJ L3J L4J [5J 16] L7J L3JCR CP D o Di 02 03 CT P GNDQ o -Q 2作输岀,Qs 不用。
使输岀数据的频率为输入 时钟频率的二分频,周期为2秒。
6)译码器的选用选四位二进制计数器74LS161.其引脚功能如下图所示。
比c CO Q Q Q I Q2 Q3 CTr LD|?6! [151 114| |13! fT5LFH] [10] TL74LS161/CC40161L1JL2JL3JL4JL^L^L7JL8JCR CP D o D1 D2 D3 CTp GNDQo〜作输出,Qs不用。
使输出数据的频率为输入时钟频率的二分频,周期为2秒。
7) 彩灯的选用这里选用发光二极管做彩灯。
由于电源电压为5V,每次只亮一路灯,限流电阻R3= (UCC-VD) /ID,当R3取470时,ID约为7mA。
多花样自动切换彩灯控制器的设计
课程设计任务书学生姓名:专业班级:自动化1003班指导教师:工作单位:自动化学院题目: 多花样自动切换的彩灯控制器设计初始条件:1.运用所学的模拟电路和数字电路等知识;2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片等。
要求完成的主要任务:1.现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:2.第1种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮, 3.第2种花样为彩灯两边同时亮1个逐次向中间移动再散开;4.2种花样自动变换,循环往复。
时间间隔为1秒。
5.严格按照课程设计说明书要求撰写课程设计说明书。
时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天进行方案论证,软件模拟仿真并确定设计方案;第5天提交电路图,经审查后领取元器件;第6~8天组装电路并调试,检查错误并提出问题;第9~11天结果分析整理,撰写课程设计报告,验收调试结果;第12~14天补充完成课程设计报告和答辩。
指导教师签名: 2012年 6月25日系主任(或责任教师)签名: 2012年 6月25日前言本次课程设计《电工电子综合》课程中,通常只介绍单元电路的设计、集成芯片的特性、功能等,而一个实用的电子系统通常是由多个单元电路组成的。
因此,进行电子系统设计时,不但要考虑系统总体电路的设计,还要考虑系统各部分电路的选择、设计及它们之间的相互连接。
由于各种通用和专用的模拟、数字集成电路的大量涌现,所以在电子系统的方案框图确定后,除少数电子电路的参数需要设计计算外,大部分只需根据电子系统框图各部分要求正确选用模拟和数字集成电路的芯片就可以了电子系统的设计没有固定不变的步骤,它往往与设计者综合应用所学知识的能力、经验等有密切关系。
常用电子系统设计通常包括:选择总体方案框图、单元电路设计与选择、电子元器件的选择、单元电路之间的连接、对电子系统进行电路搭试、对方案及单元电路参数进行修改、绘制总体电路,最后写出设计报告。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
论文提要
彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。
彩灯艺术也就是灯的综合性的装饰艺术。
彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。
随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。
现今生活中,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式,它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。
本设计的彩灯能成为现实的一种,但技术上日后将会有更大的改善和提高。
本设计以555定时器,模十六计数器74LS161,74LS194双向移位寄存器,发光二极管等器件实现,具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。
八路彩灯显示电路的设计
摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。
整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。
关键词:控制、循环、555定时电路
一、设计内容与设计要求
1.设计目的
⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。
⑵掌握计数、译码、显示综合电路的设计与调试方法。
⑶掌握实际输出电路不同要求的实现方法。
2.设计任务
八路彩示系统,该系统实现以下功能:
(1)从左向右逐次亮,间隔为0.5秒。
(2)从右向左逐次灭,间隔为0.5秒。
(3)左四同时亮,然后右四同时亮;左四同时灭,然后右四同时灭,间隔1秒,重复四次(4)八个同时全亮,时间为1秒,然后同时全灭,时间为1秒,重复4次。
3.设计要求
⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。
⑵选择元器件型号。
⑶画出总逻辑图和装配图,并在面包板上组装电路。
⑷进行电路调试,使其达到设计要求。
⑸写出总结报告。
4.参考器件
555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。
二、总体设计思路
根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
三、总体设计原理
1.基本原理
由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。
第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。
由于程序循环一次要20秒,故需要一个20进制的计数器控制循环。
第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。
可以用一个16进制计数器产生脉冲信号,一路送到控制20进制的计数器,一路经逻辑电路送到移位寄存器。
2.设计框图
图1 3.总逻辑电路原理图
图2
四、各单元电路设计
1时钟脉冲产生电路
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为: T=0.7(R1+2R2)C………………………(5-1)
控制74LS161模十六计数器电阻值和电容值可设为:
R1=1KΩ, R2=17.4KΩ, C=0.01μF
由公式(1-1)计算得:T=0.2506S
图3
2.多谐振荡器
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号,B输出4倍T的脉冲信号,大约为1S。
图4
3.20进制循环控制电路
图5
4.具体实现:
如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测
N,当N出现时,与非门输出为低,用它控制清零端CLR’,将计数器清零。
此处工作状态从
00000~10011,检测10100(异步清零)。
5.彩灯花样输出电路:
⑴运用到74LS194功能表
输入输出功能/CR S1 S0 CP SL SR D0 D1 D2 D3 Q0 Q1 Q2 Q3
0 ×××××××××0 0 0 0 清零
1 1 1 ↑××d0 d1 d
2 d
3 d0 d1 d2 d3 送数1 0 1 ↑× 1 ×××× 1 Q0n Q1n Q2n 右移1 1 0 ↑0 ×××××Q1n Q2n Q3n 0 左移
表1
⑵通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值
表
表2 由上表得:
S0=QD'
S1=QE+QD
CLR'=(QE*A+QE')
进一步分析可知74LS194脉冲控制:
CLK=(QE*A+QE')*QE+B
五、实验、调试及测试结果分析
1结果的调试及分析
⑴调试使用的主要仪器: 数字万用表直流稳压电源示波器函数信号发生器
⑵测试电路的方法和技巧:
先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。
2.调试中出现的故障、原因及排除方法:
⑴彩灯只有一种花样变化,没有其它的花样:
可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。
还可能是20进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。
特别注意不能把非门的输入与输出接反了。
⑵彩灯无规律变化:
原因可能是20进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP 脉冲是否稳定,看74LS161是否能计数,即Q A、Q B、Q C、Q D、 Q E是否周期性的高低电平变化,前面没问题,再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。
⑶彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:
原因可能是控制循环的20进制计数器没有正确接线,实际控制循环的进制大于20进制,导致有放空时间。
应该检查3输入与非门是否正常工作,确保能实现20进制计数。
六、总结
在这段时间中自己学会了很多东西,如设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必不可少的环节。
在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没有了头绪。
学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有自己的思路和观点,并且努力去实现。
这就是快乐的最大源泉。
在完成本次课设的过程中开始的头几天一直在纠结于用74LS194实现移位寄存器,因为
它的原理是很简单的,可是在实际连电路的过程中,一下子遇到了很大的困难,因为外界的干扰因素实在太多了,稍不注意就碰到某个导线了,结果发光二极管就不亮,于是自己得检查到底哪里出现了问题,有得费很长时间去检查管脚是否连错,导线是否松动,或者导线是否坏掉等等情况。
经过这次试验,终于感觉到了理论与实际的差别有多大了,当然这个过程也是非常的考验人的,不仅仅是考察你的理论知识,动手能力,以及检查问题并解决问题的能力,更是在考验一个人的耐心,细心。
在连接电路的过程中,丝毫不得马虎,必须清楚的了解每个芯片的管脚分布图,功能表。
说实话,在实验过程中出现问题并不可怕,可怕的是在反复的受挫中没有革新,不能改进自己的方法和思路。
因此自己应该具有创新思维。
通过这次课程设计,我对电子技术中的诸多知识有了更深层次的理解,也初步学会了如何将理论知识有机地与实际结合加以运用。
这次学习使我获益匪浅。
附录:
元器件清单:555定时器,模十六计数器74LS161,与门74LS09,与非门74LS20,非门74LS04,或门74LS32,74LS194双向移位寄存器,发光二极管等。
参考文献
[1]欧阳星明:数字逻辑,华中科技大学出版社,2005年3月第2版。
[2] 王玉龙:数字电路,高等教育出版社,2004年6月第一版。
[3]阎石:数字电子技术基础,高等教育出版社,第五版。