uvm实战-学习笔记
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《UVM 实战(卷1)》学习笔记
看了第1/2/3/4/5/6/8/9.1 这几个章节。
第一章是综述,第二章是一个具体的例子,学习笔记从第三章相关内容开始。
我个人觉得UVM 重要的部分(特点的部分):
1)factory 机制(override config_db )
2)TLM 传递
3)phase 机制
4)sequence-sequencer 以及virtual seq/sqr
内容中的截图基本来自于UVM 源代码、书自带的例子和《uvm1.1 应用指南及源代码分析》这个PDF里的。需要结合书(《UVM 实战(卷1)》第1 版)来看这个笔记。
第3 章UVM 基础
3.1 uvm_component 和uvm_object
常用的类名字:
这个图是从作者张强的《uvm1.1 应用指南及源代码分析》里截得,不如书上 3.1.1 里的图好。uvm_sequencer 也是代码里必须有的,所以我加了uvm_sequencer
uvm_void 是一个空的虚类。在src/base/uvm_misc.svh 中定义:
红框的是我们搭testbench 的时候用的比较多的基类。
常用的uvm_object 派生类:
sequencer 给driver 的transaction 要派生自uvm_sequence_item ,不要派生自uvm_transaction
所有的sequence 要派生自uvm_sequence 或者uvm_sequence 的派生类,可以理解为sequence 是sequence_item 的组合(集合)。driver 向sequencer 索要item ,sequencer 检查是否有sequence 要发送item ,当发现有item 待发送时,就把这个item 发给driver.
常用的uvm_component 派生类:
所有的driver 要派生自uvm_driver. driver 用来把sequence_item 中的信息驱动到DUT 端口上,从transaction-level 向signal-level 的转换。uvm_driver 需要参数(REQ RSP),比uvm_component 增加了几个成员。重要的是seq_item_port 和req/rsp. (src/comps/uvm_driver.svh )
monitor/scoreboard 派生自uvm_monitor 和uvm_scoreboard ,但是uvm_monitor 和uvm_scoreboard 并没有在uvm_component 基础上做扩展。
src/comps/uvm_monitor.svh
sequencer 要派生自uvm_sequencer. sequencer 做了很多扩展,但是如果我们自己写的sequencer 里没有增加成员的话,可以直接写如下代码:
typedef uvm_sequencer #( 传递的sequence_item 类名) sequencer 类名;
因为sequencer 在agent 中例化,所以一般写在agent 类文件里。
reference_model 派生自uvm_component.
agent 要派生自uvm_agent. uvm_agent 里多了一个is_active 的成员。一般根据这个active 来决定是否实例化driver 和sequencer. is_active 变量的数值需要在env 的build_phase 里设置完成(可以直接设置,也可以用uvm_config_db#(int)::set )。
env 要派生自uvm_env. uvm_env 没有对uvm_component 扩展。
src/comps/uvm_env.svh
所有的test 都要派生自uvm_test 或者它的派生类。uvm_test 也没扩
展src/comps/uvm_test.svh
uvm_object 和uvm_component 的macro
macro 非常重要,事关把这些类的对象注册到factory 机制中去。
uvm_object macro
1)对于uvm_sequence_item 就统一用(假设不用parameter) :
`uvm_object_utils_begin(item类名)
⋯. field_automation ⋯
`uvm_object_utils_end
2)对于uvm_sequence,要加上
`uvm_object_utils(sequence类名)
名)的声明
需要`uvm_declare_p_sequencer(sequencer类
可能还
uvm_component macro
对于driver monitor reference_model scoreboard sequencer case agent env 这些
uvm_component 派生类都要加上:
`uvm_component_utils( 类名)
uvm_component 里的成员也可以像uvm_object 里成员一样,用field_automation 机制。
field_automation 机制:
对于uvm_object 派生类来说,field_automation 机制让对象自动有的copy compare print pack unpack 等函数,简化了实现uvm_component 派生类里一些function/task 的工作量
对于uvm_component 派生类来说,field_automation 机制最重要的是可以在build_phase 中自动获取uvm_config_db#()::set() 的数值(必须加super.build_phase(phase))---- 也就是不用写uvm_config_db#()::get()
注意:field_automation的macro的类型要和uvm_config_db的参数类型一致:
如下示例代码,field_int vs uvm_config_db#(bit[47:0]) 这个时候super.build_phase() 是不起作用的。
想要起作用的话,需要用
clone = new + copy 源代码中可以看到clone 函数一上来会做一次create,然后调copy 函数src/base/uvm_object.svh