片上系统技术

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

SOC概述
固核
固核是软核和硬核的折衷,是指在结构和 拓扑方面针对性能和面积进行版图规划, 甚至可能用某种工艺技术进行过优化的可 复用模块。
SOC概述
软硬件协同设计
与传统的嵌入式系统设计方法不同,软/硬件协同 设计强调软件和硬件设计开发的并行性和相互反馈, 强调利用现有资源(I P核和软件构件),缩短系 统开发周期,降低系统成本,提高系统性能。

存储器和混合信号设计 片上总线 时钟分配 清零/置位/复位信号 物理设计 可交互模块
SOC设计
同步设计
数据只在时钟沿变化,因此指令和数据的管理 更容易,具体措施: 随机逻辑中使用寄存器,不用锁存器 避免使用内部脉冲发生电路 避免使用直接组合逻辑通道

SOC设计
存储器设计
SOC中存储器一般占芯片面积的60%以上,存 储器设计的注意事项: 存储器周边加虚拟单元,以减小其边界和中心 阵列的寄生参数诧异; 大规模存储器放在芯片的边或角上; 小存储器分散放置; 小存储器用到的金属层比工艺允许的布线层少 1~2层,留下的金属层用来进行芯片级布线。
SOC概述
CPLD与FPGA的区别




FPGA的集成度比CPLD高,FPGA一般是几万~几百万逻辑 单元,CPLD一般是几千~几万逻辑单元; CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完 成时序逻辑; CPLD的时序延迟是均匀的和可预测的,而FPGA的延迟往 往不可预测; 在编程上FPGA比CPLD具有更大的灵活性; CPLD是粗粒结构(逻辑块),延迟小,软件编译快; CPLD比FPGA使用起来更方便,不需要EEPROM; CPLD的速度比FPGA快; CPLD保密性更好。

芯片布局 布线
SOC概述
设计验证
保证芯片满足功能、时序、功率和其他指 标的要求。验证占用了整个设计时间的大 约70%。


系统级验证 RTL级验证 逻辑门级验证 物理级验证
第二章:SOC设计

SOC设计流程 SOC设计原则
SOC设计
设计流程
结构设计
软件设计 软件规格要求 用例分析 硬件设计 硬件任务要求 任务分配 和算法开发
随着线宽不断缩小,电源电压也相应缩小。同 时随着集成度的提高,电路功耗也会相应提高, 所以必须采取相应措施,以降低功耗。
芯片的功耗主要由跳变功耗、短路功耗和泄漏 功耗三部分组成。降低功耗的措施包括:电路 实现工艺、输入向量控制(IVC)技术、多电压 技术、功耗管理技术以及软件(算法)低功耗 利用技术等。
SOC设计
时钟分配



尽可能使用同步设计 不同时钟域的数量越少越好 尽可能避免使用锁存 尽可能减小时钟偏斜(在系统内不同元件处检测 到有效的时钟跳变沿所需的时间差异)
SOC设计
清零/置位/复位信号

尽可能使用同步复位 文档中应对这些信号作详细说明
SOC设计
物理设计

版图规划 综合 时序 输入/输出端口 确认与测试


功能要求:指定核的用途和操作 物理要求:指定封装、面积、功耗、工艺库等 设计要求:指定结构和带数据流的框图 接口要求:指定信号名称和功能、时序图和交 直流参数 测试与调试要求:指定生产测试、可测性设计 方法、测试向量产生方法、故障分级等 软件要求:指定硬件模块的软件驱动程序
软核/固核设计
产品

SOC设计
版图规划
芯片设计之初的版图规划有助于估算芯片的大 小,以及确定是否能达到面积、时序、性能和 成本的目标。
SOC设计
综合(synthesis )
综合:将电路的高级语言描述编译生成出细节更 加丰富的低级描述。在设计之初,要对综合后的 面积、时序和功耗制定明确的目标。
SOC设计
时序
在版图设计之前,应对版图规划后的块进行时 序分析,在版图设计完成后,再进行时序验证。
SOC概述
SOC的国内现状
地域分布 长江三角洲 京津 珠江三角洲 产业 IC设计 芯片加工 后工序
SOC概述
SOC的应用

无线/有线通信 多媒体 工业控制 交通运输
SOC概述
SOC的分类



CSOC:CPU+可重构处理构件,研究机构主导 SOPC:基于FPGA,可编程SOC,小批量 ASIC SOC:专用集成电路,大批量,主流
SOC概述
总线构架技术
IBM公司的CoreConnect总线 ARM公司的AMBA总线 Altera公司的Avalon总线 Silicore公司的Wishbone总线

SOC概述
可靠性设计技术
防死锁和解锁机制 容错机制 故障恢复机制

SOC概述
特殊工艺技术
对于要求较高的电路,PN结隔离和挖槽还 不能达到要求,需要特殊的噪声降低和隔 离技术。 一些特殊工艺的相互兼容性,如DRAM、 Flash与Logic工艺的兼容、数字与模拟的 相互兼容等。


RTL代码 功能测试平台 测试向量文件 综合的脚本文件 描述核功能的文档 工作特性 仿真结果 门级网表(固核)(网表:雏形的电路,描述器件的连接) 工艺库说明(固核) 时序模型(固核) 面积和功耗估计(固核) 原型样片(固核)
硬核设计
片上系统(SOC)技术
秦华旺
南京理工大学自动化学院
主要内容

SOC概述 硬件描述语言Verilog HDL Quartus II开发环境
课程安排

24学时的理论课 8学时的实验课 开卷考试 考核内容:PPT中的知识点 暂无教材
第一章:SOC概述

SOC的定义 SOC的历史、现状与发展趋势 SOC的基本概念



SOC设计
混合信号设计
SOC中混合信号一般不超过芯片面积的5%,如 锁相环、数/模转换器、模/数转换器、温度传 感器等,混合信号一般对噪声和工艺非常敏感, 设计的注意事项: 尽量将混合信号模块放在芯片角上 周围加上保护环和虚拟单元


SOC设计
片上总线
片上总线在SOC设计中起着极其重要的作用, 由于片上总线提供了各种核都可以连接的通用 接口,因此基于总线的设计有利于管理。片上 总线的设计和数据传输协议的制定应该在选定 核之前就予以考虑。若在核开发完成后再设计 片上总线,则容易导致数据传输机制的混乱。
SOC设计
输入/输出端口
要对I/O端口进行详细定义,并合理规划其摆放 位置,一些经验法则有: 所有的电源/地引脚应该排列在同一边 信号I/O排列在两个边上

SOC设计
可交互模型
设计的复用在很大程度上依赖于可交互模 块的质量,这些模块包括:行为模型、功 能模型、仿真模型、时序模型、版图规划 模型等。 尽量提高可交互模型的质量 对模型进行加密,防止逆向工程

软硬件任务划分 软硬件接口定义 软硬件协同仿真和验证
SOC概述
时序分析

时钟同步 时序预算的分级和分解 关键路径的特殊约束
SOC概述
可测试性设计与测试验证
SOC验证工作约占整个设计工作的70%。

测试架构 测试向量有效传递性 测试平行化 降低测试占用时间
SOC概述
SOC概述
SOC的关键技术


可重用IP核 软硬件协同设计 时序分析 可测试性设计与测试验证 深亚微米技术 低电压、低功耗技术 总线构架技术 可靠性设计技术 特殊工艺技术
SOC概述
SOC的IP核
IP核,即知识产权IP( Intellectual Property ),是指己验证的、可重利用的、 具有某种确定功能的集成电路模块。
SOC概述
ASIC(专用集成电路)的分类


全定制:设计者完成所有电路的设计 半定制:利用库里的标准逻辑单元设计
SOC概述
著名ASIC厂商




TI IBM Sony ST Toshiba NEC(日电) Fujitsu Renesas(瑞萨) LSI Samsung
SOC概述
SOC概述
EDA的作用
随着芯片规模的不断扩大,芯片内线路的 复杂程度不断增加,IC设计者必须在先进 的EDA工具的基础上,采用自上至下、结 构化设计、软硬件协同设计等方法来完成 SOC的系统功能设计。
SOC概述
EDA工具的主要供应商

Cadence (美国) Synopsys (美国) Avant(美国,已被Synopsys 收购) Magma(美国)
SOC概述
EDA工具的芯片开发步骤

前端设计 后端设计 设计验证
SOC概述
前端设计
完成从芯片逻辑的概念化设计到芯片逻辑 门级表示的工作。

系统级设计和分析 寄存器传输级(RTL)设计和分析 逻辑综合和优化
SOC概述
后端设计
描述了如何使设计结构在芯片上进行物理 实现,尤其是芯片的硅内核和库单元的布 局和布线。
VLSI设计
优化 面积/速度/功耗 时序规格要求 时钟频率和 I/O时序 物理设计 物理规格要求 面积、功耗 及时钟
用例设计和 代码开发
划分为子模块
模块级时序
版图规划
原型开发
模块验证
模块级综合
修正:面积、 功耗及 版图规划
软件测试
顶级验证
顶级综合
布局和布线
SOC设计
设计复用的一般原则

同步设计





软核 硬核 固核
SOC概述
软核
软核是用硬件描述语言描述的功能块,但 是并不涉及用什么具体电路元件实现这些 功能。 软IP的设计周期短,设计投入少,应用灵 活。缺点是在性能上难以获得全面优化, 且知识产权保护较难。
SOC概述
硬核
在性能、功率和面积上经过优化,并映射 到特定工艺技术的功能模块。 硬核具有可预见性,同时还可以针对特定 工艺或购买商进行功耗和尺寸上的优化, 且更易于实现版权保护。但应用灵活性和 可移植性较差。
SOPC 著名厂商(基于FPGA)

Xilinx Altera Lattice Actel Quiklogic Cypress
SOC概述
可编程器件



PLD (Promrammable Logic Device) CPLD (Complex Promrammable Logic Device) FPGA (Field Programmable Gate Array)

第三章:IP核设计

软核/固核的设计 硬核的设计
软核/固核设计
软核/固核特点
软核和固核是RTL形式的产品,易于复用且具有 较高的灵活性;但是,由于没有确定的版图,它 们的面积、功耗和性能没有经过优化。 RTL:寄存器传输级,用硬件描述语言描述预期 的功能。与之相对应的门级则是用具体的逻辑单 元来描述一定的功能。RTL和门级是设计上的不 同阶段,RTL经过逻辑综合后就得到门级。之所 以有RTL,是因为集成电路可以看作由一组寄存 器以及寄存器之间的逻辑操作构成。
SOC概述
EDA(电子设计自动化)
EDA(Electronic Design Automation) 是 以计算机为工作平台,以EDA软件工具为开 发环境,以硬件描述语言为设计语言,以可 编程器件为实验载体,以ASIC、SOC芯片 为设计目标器件,以电子系统设计为应用方 向的电子产品自动化设计过程。
SOC概述
SOC的定义
SOC:片上系统,或系统级芯片,即在
一个芯片上集成一个计算机系统。
SOC概述
SOC的发展历史


电子管 晶体管 集成电路 工艺:0.25µ m以下,进入深亚微米阶段 规模:超大规模(VLSI)和特大规模(GSI) SOC
SOC概述
SOC的特性

复杂系统功能 超深亚微米工艺 内嵌一个或数个CPU或DSP 功能可编辑 复用IP核
软核/固核设计
软核/固核设计流程
定义核的设计要求 (功能、接口、时序) 开发行为模型并验证
划分为子模块
子模块的功能要求
子模块的RTL 约束条件 面积 功耗 速度
子模块测试平台
综合
满足RTL代码故障 覆盖率的测试
插入可测试性设计
Biblioteka Baidu
有阴影的框表示固核所需的附加步骤
子模块集成
软核/固核设计
设计说明文档

深亚微米技术
工艺加工线宽的不断减少,给电路的设计、仿 真带来了新的挑战,线与线的相互影响将变得 不可忽略,必须研究关键电路架构、时序收敛 性、信号完整性、天线效应等问题。


0.8~0.35μm称为亚微米 0.25μm及其以下称为深亚微米 0.05μm及其以下称为纳米
SOC概述
低电压、低功耗技术
相关文档
最新文档