compiler 培训
Encounter RTL Compiler命令参考手册(中文版)说明书
July 20092003-2009 Cadence Design Systems, Inc. All rights reserved.Portions © Concept Engineering GmbH. Used by permission.Printed in the United States of America.Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA.Product Encounter™ RTL Compiler contains technology licensed from, and copyrighted by: Concept Engineering GmbH, and is 1998-2006, Concept Engineering GmbH. All rights reserved.Open SystemC,Open SystemC Initiative,OSCI,SystemC,and SystemC Initiative are trademarks or registered trademarks of Open SystemC Initiative, Inc. in the United States and other countries and are used with permission.Trademarks:Trademarks and service marks of Cadence Design Systems,Inc.contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks, contact the corporate legal department at the address shown above or call 800.862.4522. All other trademarks are the property of their respective holders.Restricted Permission:This publication is protected by copyright law and international treaties and contains trade secrets and proprietary information owned by Cadence.Unauthorized reproduction or distribution of this publication,or any portion of it,may result in civil and criminal penalties.Except as specified in this permission statement,this publication may not be copied,reproduced,modified,published,uploaded,posted,transmitted, or distributed in any way, without prior written permission from Cadence. Unless otherwise agreed to by Cadence in writing, this statement grants Cadence customers permission to print one (1) hard copy of this publication subject to the following conditions:1.The publication may be used only in accordance with a written agreement between Cadence and itscustomer.2.The publication may not be modified in any way.3.Any authorized copy of the publication or portion thereof must include all original copyright, trademark,and other proprietary notices and this permission statement.4.The information contained in this document cannot be used in the development of like products orsoftware, whether for internal or external use, and shall not be used for the benefit of any other party, whether or not for consideration.Patents:Cadence Product Encounter™RTL Compiler described in this document,is protected by U.S.Patents [5,892,687]; [6,470,486]; 6,772,398]; [6,772,399]; [6,807,651]; [6,832,357]; and [7,007,247]Disclaimer:Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights,nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information.Restricted Rights:Use,duplication,or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successorContentsAlphabetical List of Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17Preface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23 About This Manual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24 Additional References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24 How to Use the Documentation Set. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25 Reporting Problems or Errors in Manuals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 Customer Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 Cadence Online Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 Other Support Offerings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27 Man Pages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27 Command-Line Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 Getting the Syntax for a Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 Getting the Syntax for an Attribute. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 Searching for Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29 Searching For Commands When Y ou Are Unsure of the Name . . . . . . . . . . . . . . . .29 Documentation Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30 T ext Command Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .301Navigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31 basename. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32 cd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33 dirname . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35 dirs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36filter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..37find . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39 inout_mate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .44 ll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .45ls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46 popd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .50 pushd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51 pwd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .52 vdir_lsearch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53 what_is. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .542General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .57 alias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..58 all_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .59 all_outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .60 apropos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61 clear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..62 date . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .63 enable_transparent_latches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64 exec_embedded_script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65 exit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..67 get_attribute. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68 get_liberty_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71 get_read_files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72 help. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..73 include . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74 lcd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75 license . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76 license checkin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77 license checkout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78 license list. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .79 lls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80 lpopd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81 lpushd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82 lpwd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .83 man . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84 more. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .85quit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87 rc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .88 redirect. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .92 reset_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94 resume. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96 sdc_shell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97 set_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98 shell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .101 suppress_messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102 suspend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103 unsuppress_messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1043GUI Text. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .105 General GUI T ext Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106 gui_hide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107 gui_info. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107 gui_raise. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107 gui_reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107 .gui_selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108 gui_show . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108 gui_status. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108 gui_update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108 HDL Viewer GUI Text Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109 gui_hv_clear. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110 gui_hv_get_file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110 gui_hv_load_file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110 gui_hv_set_indicators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111 Schematic Viewer GUI T ext Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112 gui_sv_clear. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113 gui_sv_get_instance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113 gui_sv_grey . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113 gui_sv_highlight . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114 gui_sv_load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115 Physical Viewer GUI T ext Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .116gui_pv_airline_add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117 gui_pv_airline_delete. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118 gui_pv_airline_display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .118 gui_pv_airline_raw_add. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .119 gui_pv_clear. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .119 gui_pv_highlight. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .120 gui_pv_highlight_update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .122 gui_pv_label. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .122 gui_pv_redraw . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .123 gui_pv_selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .123 gui_pv_snapshot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .123 gui_pv_zoom_fit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .125 gui_pv_zoom_in. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .125 gui_pv_zoom_out. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .125 gui_pv_zoom_to. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1254Chipware Developer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .127 cwd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .128 cwd check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .129 cwd create_check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133 cwd report_check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .135 hdl_create. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137 hdl_create binding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .138 hdl_create component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .140 hdl_create implementation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .142 hdl_create library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .144 hdl_create operator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .145 hdl_create package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .146 hdl_create parameter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148 hdl_create pin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1505Input and Output. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .153 decrypt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .155encrypt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .156 export_critical_endpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .159 read_config_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .161 read_cpf. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .162 read_def. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .163 read_dfm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .164 read_dft_abstract_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .166 read_encounter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .167 read_hdl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .168 read_io_speclist. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .172 read_netlist. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .173 read_saif. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175 read_sdc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176 read_spef. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178 read_tcf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179 read_vcd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .180 restore_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .181 write_atpg. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .183 write_bsdl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184 write_compression_macro. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .185 write_config_template . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .186 write_def. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .187 write_design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .188 write_dft_abstract_model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .189 write_do_ccd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .190 write_do_ccd compare_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191 write_do_ccd generate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .192 write_do_ccd propagate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193 write_do_ccd validate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .195 write_do_clp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .196 write_do_lec. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .198 write_do_verify cdc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .200 write_encounter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202 write_et_atpg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .205 write_et_bsv. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .206 write_et_mbist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .207write_et_rrfa. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .208 write_ets. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .209 write_ett . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .210 write_forward_saif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .211 write_hdl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212 write_io_speclist. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .215 write_saif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .216 write_scandef. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .217 write_script. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .218 write_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221 write_sdf. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224 write_set_load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .227 write_spef. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .228 write_tcf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .229 write_template . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2306Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .233 clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .234 create_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .237 define_clock. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .240 define_cost_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .245 derive_environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246 external_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248 generate_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .252 multi_cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .254 path_adjust. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .259 path_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .263 path_disable. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .266 path_group. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .269 propagate_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .272 specify_paths. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .274 validate_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2807Elaboration and Synthesis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .283 elaborate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .284 remove_assigns_without_optimization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .286 remove_inserted_sync_enable_logic. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .288 retime. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .289 set_remove_assign_options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .291 synthesize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2948Analysis and Report. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .301 all_connected. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304 all des. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .305 all des inps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .306 all des insts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .307 all des outs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .308 all des seqs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .309 all lib. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .311 all lib bufs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .312 all lib ties. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .313 analyze_library_corners. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .314 check_design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .316 clock_ports. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .321 compare_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322 fanin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .323 fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .326 report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 report area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .332 report boundary_opto. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .334 report buskeepers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .335 report cdn_loop_breaker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .336 report cell_delay_calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .338 report checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .339 report clock_gating. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .342。
编程与软件开发技能提升培训ppt
企业级项目实战
企业级项目实战:通过参与企业级项 目,学员可以了解真实的软件开发流 程和需求,提高在复杂项目中的工作 能力。
总结词:真实环境
详细描述:企业级项目通常涉及大规 模的开发团队、复杂的业务需求和技 术架构。学员可以参与到这种级别的 项目中,了解企业级软件开发的全流 程,包括项目管理、团队协作、代码 规范、性能优化等方面的知识和技能 。通过这种实战经验,学员可以更好 地适应未来的工作需求,提高在复杂 项目中的工作能力。
利用缓存存储常用数据,减少重复计算和数据库查询,提升系统响 应速度。
负载均衡
通过部署多个服务器并分配请求负载,减轻单个服务器的压力,提 高系统吞吐量。
安全性问题(加密, 身份验证)
数据加密
01
采用对称或非对称加密算法对敏感数据进行加密存储和传输,
确保数据安全。
身份验证
02
通过用户名密码、令牌、多因素认证等方式验证用户身份,防
中型项目实战
01
中型项目实战:通过参与中型项目,学员可以进一步巩固 编程知识和技能,提高解决实际问题的能力。
02
总结词:技能提升
03
详细描述:中型项目通常涉及更复杂的功能和需求,需要 学员具备更深入的编程知识和技能。在导师的指导下,学 员可以参与到项目的需求分析、设计、开发、测试和部署 等各个环节,从而提升自己的编程能力和解决实际问题的 能力。
02 软件开发流程
需求分析
需求调研
深入了解用户需求,收集 相关资料,为后续开发提 供依据。
功能定义
根据调研结果,明确软件 应具备的功能和特性。
需求规格说明书
编写详细的需求规格说明 书,明确各项功能的具体 要求。
设计阶段
C_CMTS基础知识培训
C_CMTS基础知识培训目录一、C_CMTS概述 (2)二、C_CMTS系统架构 (3)2.1 系统组成 (4)2.2 基本框架 (5)2.3 关键技术 (6)三、C_CMTS关键技术 (7)3.1 无线传输技术 (8)3.2 数据处理技术 (10)3.3 网络管理技术 (11)3.4 安全技术 (12)四、C_CMTS系统性能评估 (13)4.1 传输性能评估 (14)4.2 可靠性评估 (15)4.3 安全性评估 (17)五、C_CMTS实际应用案例 (18)5.1 城市交通控制系统 (20)5.2 医疗卫生信息系统 (21)5.3 教育信息化系统 (23)六、C_CMTS未来发展挑战与展望 (23)6.1 技术创新 (25)6.2 应用拓展 (25)6.3 行业发展策略 (27)一、C_CMTS概述它将计算机科学、通信工程、电子工程等多个领域的知识融合在一起,为用户提供高效、便捷的通信和多媒体服务。
C_CMTS基础知识培训旨在帮助学员掌握C_CMTS的基本概念、原理和应用,为进一步深入学习和实践奠定基础。
通信原理:包括数字信号处理、信道编码、调制解调、多路复用等基本原理,以及无线通信、有线通信等多种通信方式。
多媒体技术:包括图像处理、音频处理、视频处理等多媒体技术,以及流媒体传输、音视频编解码等关键技术。
网络技术:包括计算机网络、局域网、广域网等网络结构和技术,以及网络协议、网络安全等相关知识。
软件工程:包括软件开发过程、软件测试、软件维护等软件开发方法和技巧,以及软件项目管理、软件质量保证等管理知识。
硬件技术:包括计算机硬件结构、处理器、存储器、输入输出设备等硬件设备及其工作原理,以及嵌入式系统开发等相关知识。
通过C_CMTS基础知识培训,学员可以掌握C_CMTS系统的各个方面的基本知识和技能,为从事相关工作或进一步深入研究奠定基础。
随着信息技术的不断发展,C_CMTS系统在各个领域中的应用也将越来越广泛,因此具备C_CMTS基础知识的人才具有很高的市场需求和发展前景。
cmpl_01
原中间代码:
序号 (1) (2) (3) (4) (5) OP := J< * + * 1 100 10 I 10 K K T1 K ARG! ARG2 RESULT K (9) T1 M T2 K:=1 若100<K 转至第(9)个四 元式 T1:=10*K;T1为临时变量 M:=I + T1; T2:=10*K;T2为临时变量 注 释
注
释
(1) := (2) := (3) := (4) j< (5) + (6) + (7) + (8) j (9)
若100<K 转至第(9)个四 元式 M:=M+10 N:+N+10 K:+K+1 转至第 (4)个四元式
源程序
原中间代码
16
5、目标代码生成
任务: 中间代码→依赖于机器的目标代码(汇编语言或机器语言)
10
id3 id2 T3
T1 T2 -
T1 )
T2 ) T3 ) id1) ( * id3 10.0 T2 )
( +
id2
T2
id1 )
29
目标代码生成
sum := first + count * 10 ( ( * + id3 id2 10.0 t1 id3, t1 ) id1 ) R2
MOVF MULF
begin var sum , first , count : real ; sum := first + count * 10 end .
22
赋值语句
标识符 id1 sum :=
id1:=id2+id3*10 的语法树
综合工具-DesignCompiler学习教程
综合⼯具-DesignCompiler学习教程Design Compiler 13讲中的部分内容:1、逻辑综合的概述DC⼯作流程分为三步2、DC的三种启动⽅式GUIdc_shellBatch mode3、DC-Tcl语⾔的基本结构1、⾼层次设计的流程图2、DC在设计流程中的位置3、使⽤DC进⾏基本的逻辑综合的流程图与相应的命令①准备设计⽂件②指定库⽂件③读⼊设计④定义设计环境⑤设置设计约束⑥选择编译策略⑦编译⑧分析及解决设计中存在的问题⑨存储设计数据(1)启动环境配置简述(2).synopsys_dc.setup配置⽂件的书写(3).synopsys_dc.setup的讲解(1)标准单元库①概述⼀个ASIC综合库包括如下信息:·⼀系列单元(包括单元的引脚)。
·每个单元的⾯积(在深亚微⽶中,⼀般⽤平⽅微⽶表⽰,在亚微⽶⼯艺下,⼀般⽤门来称呼,⾄于具体的单位,可以咨询半导体制造商)。
·每个输出引脚的逻辑功能。
·每个输⼊到输出的传递延时,输出到输出的传递延时;inout到输出的传递延时。
②内容与结构Synopsys的⼯艺库是⼀个.lib⽂件,经过LC编译后,产⽣.db⽂件。
⼯艺库⽂件主要包括如下信息:·单元(cell)(的信息):(主要有)功能、时间(包括时序器件的约束,如建⽴和保持)、⾯积(⾯积的单位不在⾥⾯定义,可按照规律理解,⼀般询问半导体⼚商)、功耗、测试等。
·连线负载模型(wire load models):电阻、电容、⾯积。
·⼯作环境/条件(Operating conditions):制程(process)(电压和温度的⽐例因数k,表⽰不同的环境之间,各参数缩放的⽐例)·设计规则约束(Design ):最⼤最⼩电容、最⼤最⼩转换时间、最⼤最⼩扇出。
⼯艺库的结构如下所⽰:(2)DC的设计对象在了解了综合库之后,下⾯介绍⼀下DC的设计对象,虽然这个设计对象相对于综合库没有那么重要,但是还是要了解⼀下的。
集成电路EDA与验证技术课件:物理层设计工具IC Compiler
物理层设计工具IC Compiler
2.设置逻辑库 ICC中针对逻辑库的设置主要是search_path, target_library,link_library这三个系统变量及命令 set_min_library。其中search_path是一个查找目录,需要包 含所有逻辑库文件所在的目录,这样在设置targe_library和 link_library中的db文件时,只需文件名即可,不需要再加上 其存放的路径。
物理层设计工具IC Compiler
6.读入SDC文件 前面已经介绍过,门级网表只是描述了设计中各个单元 之间的电路连接关系,SDC文件则是保证电路功能正确运行 的约束文件。该步骤就是运行命令行,将SDC文件读入该设 计所使用的命令:
read_sdc $sdc_file check_timing
7.6 布局 7.7 时钟树综合 7.8 布线 7.9 芯片ECO与DFM 7.10 小结
பைடு நூலகம்
物理层设计工具IC Compiler
7.1 IC Compiler(ICC)工具发展历史
ICC与Encounter是两大EDA软件巨头博弈的产物。它们 的发展历史,也可以看作微电子EDA业界风云变幻的历史。
早在20世纪80年代后期,微电子EDA厂商即呈现出两 强对峙的局面:Synopsys基本垄断了前端技术,占有将近六 成市场;Cadence基本垄断了后端技术与验证技术,占有将 近八成的市场。
物理层设计工具IC Compiler
图7.9 读入设计网表
物理层设计工具IC Compiler
通过该步骤,设计的网表就读入到已经打开的 $my_mw_lib设计库中。Layout窗口就会将设计所要用到的 所有单元都堆在坐标原点处。读入设计网表之后的Layout窗 口如图7.10所示。
(IT认证)C语言程序设计保过班培训课件
掌握常用的调试工具,如GDB 、Valgrind等,能够进行程序
调试。
错误定位
通过观察程序运行状态、输出 信息等手段,快速定位程序中 的错误。
性能优化
了解程序性能瓶颈,掌握常见 的性能优化技巧,如减少函数 调用、避免重复计算等。
代码优化
通过优化代码结构、减少冗余 代码等方式,提高程序可读性
系统级编程
由于其高效和可控性,C语言在系统级编程中仍将占据重要地位。
游戏开发
游戏开发领域中,C语言主要用于引擎和底层逻辑的编写。
跨平台软件开发
利用C语言的跨平台特性,开发可在不同操作系统上运行的软件。
THANKS FOR WATCHING
感谢您的观看
(IT认证)C语言程序设计保过班培 训课件
contents
目录
• C语言程序设计简介 • C语言基础语法 • C语言进阶语法 • C语言程序设计实践 • C语言认证考试备考指南 • 总结与展望
01 C语言程序设计简介
C语言的发展历程
1969-1973年
01
C语言的前身,B语言,由贝尔实验室的Dennis Ritchie设计并
参数传递
参数传递是函数调用时将数据传递给函数的过程。C语言支持按值传递和按指针传递两种方式。
03 C语言进阶语法
指针和地址操作
指针
指针是C语言中用于存储变量地址的变量 ,通过指针可以间接访问变量的值。
VS
地址操作
地址操作是指对变量地址进行操作的运算 符,如取地址符&、间接寻址符*等。
结构体和联合体
掌握常见的软件测试方法和技术,如单元 测试、集成测试等,确保软件质量。
05 C语言认证考试备考指南
Design+Compiler中文教程PPT
ASIC design flow
Compile and scan insert的scripts,采用bottom_up的编译方法
set_fix_multiple_port_net –buffer_constants –all compile –scan check_test create_test_pattern –sample 10 preview_scan insert_scan check_test 如果模块内的子模块具有dont_touch 属性需添加如下命令,因要插入扫描 remove_attribute find(-hierarchy design,”*”)dont_touch
ASIC design flow
设计举例,tap控制器,已完成代码编写及功能仿真:
Tap_controller.v Tap_bypass.v Tap_instruction.v Tap_state.v 完成全部设计还需经过如下几个步骤:
Pre_layout Synthesis STA using PrimeTime SDF generation Verification Floorolanning and Routing Post_layout 反标来自layout tool的信息, STA using PrimeTime Post-layout Optimization Fix Hold-Time Violation
DC介绍
Synopsys Design Compiler,是一个基于UNIX系统,通过命令行进行交互的综合工具, 除了综合之外,它还含有一个静态时序分析引擎及FPGA和LTL(links-to-layout)的解决 方案。我们就以下几个方面对DC做以介绍: script文件:由DC的命令构成,可使DC自动完成综合的整个过程。 DC支持的对象、变量、属性 DC支持的文件格式及类型 DC在HDL代码中的编译开关,控制综合过程
逻辑综合工具designCompiler使用教程
逻辑综合工具Design Compiler使用教程编者:适用专业:电子科学与技术沈阳理工大学信息学院2010年6月图形界面design vision操作示例逻辑综合主要是将HDL语言描述的电路转换为工艺库器件构成的网表的过程。
综合工具目前比较主流的是synopsys公司Design Compiler,我们在设计实践过程中采用这一工具。
Design compiler有两种工作模式,一种是tcl模式,另一种为图形模式。
在设计中为增强直观性,采用图形界面design vision。
TCL命令行模式可在设计过程中摸索熟悉,并使之成为习惯。
tcl模式在启动工具之前,准备工作有四项:设计的HDL源文件、采用的工艺库文件、设计的约束文件、工具的启动文件。
图形界面模式最少需要前两项,这里不作多说明。
1. 启动工具登陆Linux之后进入的目录即是用户的家目录,在家目录下建立dcLab作为本次实验dc的操作主目录。
在dcLab目录下,建立设计存放目录如source、约束文件目录如constrn、输出网表文件目录ntlst、报告输出目录rpt、log文件目录log、dc启动目录work,等等。
实验室中的工作站存放有umc公司和tsmc公司的0.18um标准单元库的所有文件,存放在目录:/usr/eda/designKit/下面,设计过程中需要查找的话注意路径与示例中的图片上所示路径稍有不同。
Design compiler工具在工作站中已经安装完毕,且用户的环境变量和license 也已设置完成,登录之后直接启动工具即可。
如果打开终端之后无法启动工具,可能就是license没有启动,需要首先采用命令:start_slic启动license,然后design vision才能正常开启与工作。
建立相关的工程目录之后,进入本次实验的工作目录:~/dcLab/work后,采用启动命令:design_vision&,启动工具后相应的界面如下图1所示。
【极术公开课】新一代布局总线系统IC Compiler II 初识
极术公开课本PPT由极术社区提供,如需要观看回放请前往极术社区1Confidential © 2019 Arm China移知|半导体教育领航者初识ICC21.为什么学ICC22.NDM 与ICC23.融合技术CONTENTS为什么要学ICC2◼设计规模的挑战◼多电源域◼多电压域◼多模式◼先进工艺的挑战FINFETFDSOI◼先进工艺的挑战不断涌现的新的概念CCS NLDM OCV AOCV POCV LVF ECSM MCMMDoublepattern◼两个方向◼在原有的框架基础上进行改进◼稳定性◼学习成本低◼后期效果差◼重新设计新的框架◼学习成本提高◼初期的阵痛◼PPA 差◼bug 多◼后期效果好EDA 工具的发展ICCICCIIICCII vs ICC◼学ICC还是学ICCII?◼2014年, ICCII量产版正式发布(建议ICC)◼2020年,ICCII已经完善了6年(建议ICCII)◼Fusion Compiler=DCII+ICCII◼目前不建议◼PR部分完全与ICCII相同,脚本完全一样。
◼数据结构与ICCII一致NDM与ICCIINDM◼New Data Model:统一的数据结构◼Timing View◼包含timing power function等逻辑信息。
◼用于ICCII的时序分析,功耗分析及其优化◼Layout View◼物理形状信息(无连接信息),相当于GDS。
◼用于产生GDS◼Design View◼物理形状以及pin和连接信息◼可以用来产生Frame View◼可以用来产生flatten的网表,spef ◼Frame View◼物理信息的抽象形式。
◼进行PR优化时使用。
◼提高运行速度block library◼Design view (.design) –a complete physical view that contains the full designinformation of the cell, including placed block instances and routed nets. This is the default view type◼Frame view (.frame) –a limited physical view that contains only the information needed to perform placement of the block as an instance and routing to the ports of the instance: the block outline, pins, via regions, and routing blockages.◼Abstract view (.abstract) –a simplified view that contains only the interface information of a subdesign, used for placement and timing analysis at the next higher level of the design.◼Outline view (.outline) –a simplified view of a large child block that contains only the hierarchy information, without nets or leaf-level library cells, used for floorplan creation.新的基础架构◼ICCII是基于新的框架结构,用新的面向对象语言重写。
Designcompiler学习记录(一)
Designcompiler学习记录(⼀)DC将综合分成三个步骤:translation + mapping + optimization。
Translation是指把设计的HDL描述转化为GTECH库元件组成的逻辑电路; Mapping是指将GTECH库元件映射到某⼀特定的半导体⼯艺库上,此时的电路⽹表包含了相关的⼯艺参数。
Optimization是根据设计者设定的时延、⾯积、线负载模型等综合约束条件对电路⽹表进⼀步优化的过程。
1.read_design的⼏种⽅式read_file:对指定filelist进⾏分析(analysis)并且执⾏translate到GTECH的过程-autoread ⾃动分析filelist的⽂件的先后顺序关系,根据 -top指定的name设置综合顶层,不选⽤的话默认第⼀个file是顶层-param 指定top的顶层参数 eg,-param “p_xx=>1,p_xxx=>2”-format/-f 指定filelist的⽂件类型,⼀般有verilog、vhdl、sverilog、ddc、db等选项-define 指定宏analyze + elaborateanalyze对file进⾏预编译,寻找代码错误等信息,这个过程中可以加⼊-format -define -autoread等alaborate执⾏translate过程,可以加⼊-param等2.link mapping的过程,将GTECH库⽂件映射到具体的⼯艺库。
搜索library的顺序为:local_link library --> link library --> search_path,先找到哪个⽤哪个,没找到报warning,重复报warning tips:DC中有好⼏个library的设置,⼀个是set_synthetic_library/set_target_library,这两个主要⽤于compile阶段的library的指定,也就是指定在compile_ultra,不涉及具体的mapping过程,真正对应到⼯艺库信息的是set_link_library,set_link_library可以指定多个⼯艺库信息,位于前⾯的优先被找到,所以⼀般类似于PAD,PHY,USB等library放在前⾯,再放置具体⼯艺库信息。
Makefile及GCC编译选项培训
language可取的值为:
c c-header cpp-output c++ c++-cpp-output objective-c objc-cpp-output assembler assembler-with-cpp ada f77 f77-cpp-input ratfor java
Makefile及GCC编译选项培训
Makefile中变量的使用:
objects = main.o kbd.o command.o display.o \ insert.o search.o files.o utils.o
edit : $(objects) cc -o edit $(objects)
Makefile及GCC编译选项培训
-ansi
支持所有ANSI标准的C程序。 这个开关不支持那些GNU C中与ANSI C 不兼容的特性,如关键词asm,inline和typeof,以及标明所用系统类型的预 定义宏,如unix和vax。它同时支持不受欢迎而且很少使用的ANSI三字母词 特性,不允许“$”作为标识符的一部分,不识别C++风格的“//”注释。
一般情况下GCC会采用特殊代码更高效地实现特定的内置函数。比如 调用alloca会变成若干直接调整堆栈的单一指令,而调用memcpy 会变成直 接插入的复制循环。这样产生的代码更小更快,而由于代码中没有了函数 调用,无法在这些调用中设置断点,也不能通过连接不同的库来改变这些 函数的功能。
-ansi 开关禁止函数alloca和ffs成为内置函数,因为它们没有ANSI标准 下的意义。
上下文中时,虽然没有用引号括起来,其数值被字符串化)。预处理器 认为字符串常量以新行为结束。
SEW——_ipos
TASC / Guoyu Fang / May 8, 2003 Slide 14
Driving the world
SEW 驱动控制产品
IPOS Complier 编程培训
例2
其次, 初始化变量中的值.
/*----------------------------------------------Initialisation -----------------------------------------------*/ ChangingVariable = 0;
Driving the world
SEW 驱动控制产品
IPOS Complier 编程培训
C-结构形式
if and if … else
if
此分支执行一个真表达式 (!= 0). //条件满足
此表达式可做成多个条件.
else
此分支执行一个非表达式 (= 0). //条件不满足 else 不必指定
最后编译并下载
Driving the world
TASC / Guoyu Fang / May 8, 2003 Slide 11
SEW 驱动控制产品
IPOS Complier 编程培训
系统功能
位处理功能:
_BitClear
相应变量的指定“位”置 0.
_BitMove
复制一个变量的个别位到另一个变量的指定位.
_WaitSystem
TASC / Guoyu Fang / May 8, 2003 Slide 13
SEW 驱动控制产品
IPOS Complier 编程培训
第二章 Design Compiler简介
第二章 Design Compiler概述Design Compiler是Synopsys综合软件的核心产品。
它提供约束驱动时序最优化,并支持众多的设计类型,把设计者的HDL描述综合成与工艺相关的门级设计;它能够从速度、面积和功耗等方面来优化组合电路和时序电路设计,并支持平直或层次化设计。
第一节 Design Compiler入门2-1-1 基本的综合流程图2.1中显示了一个简化的综合流程:图2.1 基本综合流程Design Compiler按照所有标准EDA格式读写文件,包括Synopsys内部数据库(.db)和方程式(.eqn)格式。
除此之外,Design Compiler还提供与第三方EDA工具的链接,比如布局布线工具。
这些链接使得Design Compiler和其他工具实现了信息共享。
2-1-2 Design Compiler的功能利用Design Compiler,设计者可以:●利用用户指定的门阵列、FPGA或标准单元库,生成高速、面积优化的ASIC;●能够在不同工艺技术之间转换设计;●探索设计的权衡,包括延时、面积和在不同负载、温度、电压情况的功耗等设计约束条件;●优化有限状态机的综合,包括状态的自动分配和状态的优化;●当第三方环境仍支持延时信息和布局布线约束时,可将输入网表和输出网表或电路图整合在一起输入至第三方环境;●自动生成和分割层次化电路图2-1-3支持的文件格式表2.1列出了Design Compiler所支持的所有的输入输出的设计文件格式:表2.1 支持的文件格式数据格式Netlist EDIFLSI Logic Corporation netlist format (LSI)Mentor Intermediate Format (MIF)Programmable logic array (PLA)Synopsys equationSynopsys state tableSynopsys database format (.db)Tegas Design Language (TDL)VerilogVHDLTiming Standard Delay Format (SDF)Command Script dcsh, TclCell Clustering Physical Design Exchange Format (PDEF)Library Synopsys library source (.lib)Synopsys database format (.db)Parasitics dc_shell command scripts2-1-4 设计类型、输入格式和输出格式设计类型:设计可以是分层的或平直的,时序的或组合的;输入格式:支持VHDL和Verilog作为设计描述的输入格式,也支持开编程逻辑阵列(PLA)和EDIF 200格式;输出格式:除了Synopsys二进制格式(.db),还支持VHDL、Verilog、EDIF 200、方程式、大规模集成(large-scale integration)、Mentor图形、PLA、状态表和Tegas格式。
compiler龙书习题解答学生
练习 4.6.3
输入
LR 分析过程
动作
aa*a+ $ s2 a*a+ $ r3 S → a
a*a+ $ s2 *a+ $ r3 S → a
*a+ $ s5 a+ $ r2 S → SS*
a+ $ s2 + $ r3 S → a
+ $ s4 $ r1 S → SS+
$ acc
9
Exercise 4.6.5
Exercise 4.5.2
a) c)
a) S1S2S3+a++ c) a1a2a3*a4++
练习 4.5.2
1) 3)
句柄: 句柄:
S2S3+ a1
句柄
4.6.6 ............ p257
Exercise 4.6.1
a)
a) S → 0S1 | 01 构造识别文法所有活前缀的自动机:
4.6 节的练习 ............ p153
+
*
a
$
S
0
S2
1
1
S2
acc
3
2
r3
r3
r3
r3
3
S4
S5
S2
3
4
r1
r1
r1
r1
5
r2
r2
r2
r2
以上分析表不含多重定义入口,所以文法是 SLR 文法。
8
Exercise 4.6.3
状态栈 0 02 01 012 013 0135 01 012 013 0134 01
符号栈 $ $a $S $Sa $SS $SS* $S $Sa $SS $SS+ $S
Microchip C18编译器培训资料
项目管理窗口
点击鼠标选中本栏 ,按鼠标右键可以 添加文件。同样适 用其它栏目。
C源程序
(Source Files)
连接描述文件
(Linker Scripts)
© 2004 Microchip Technology Incorporated. All Rights Reserved.
行内汇编
用 _asm 开始插入行内汇编语句 用 _endasm 结束汇编
813 C18
MPLAB® C18 C Compiler Hands-On Training
© 2004 Microchip Technology Incorporated. All Rights Reserved.
6
数据类型和类型修饰
24
编译环境设定
浏览选择相应 的文件夹
C:\MCC18\h\ C:\MCC18\lib\ C:\MCC18\lkr\
© 2004 Microchip Technology Incorporated. All Rights Reserved.
813 C18
MPLAB® C18 C Compiler Hands-On Training
813 C18
MPLAB® C18 C Compiler Hands-On Training
23
编译环境设定
源程序编译选 项设定
项目环境设定
© 2004 Microchip Technology Incorporated. All Rights Reserved.
813 C18
MPLAB® C18 C Compiler Hands-On Training
SynopsysDesignCompiler完整中文讲义
DC学习----第一章基本概念1.1 启动文件启动文件用来指定综合工具所需要的一些初始化信息。
DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件:1)、DC的安装目录;2)、用户的home目录;3)、当前启动目录。
注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。
下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。
例1-1(一个DC启动文件):search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” }search_path= search_path + { “~/risc32/synthesis/libraries” }target_library={ tcb773stc.db }synthetic_library={dw_foundation.sldb}link_library = { “*”, dw_foundation.sldb, tcb773stc.db }symbol_library = { tcb773s.sdb }synlib_wait_for_design_license = {"DesignWare-Foundation"}alias rt “report_timing”designer= XXXXXcompany= “ASIC Lab, Fudan Univ.”search_path指定了综合工具的搜索路径。
target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。
synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。
dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。
Windchill技术培训笔记
搭建Windchill环境开发工具设置在Eclipse中选择:Window --> Preferences --> java --> Compiler --> Building去掉:□的选中注意:一定要取消选中,否则在清工作区时将删除windchill codebase下的文件5.此时会在Eclipse的工作空间里面生成一个HelloWindchill的文件,此文件很小只有几KB创建Java项目➢第一种创建方式:1.在Eclipse中选择:File --> New --> Java Project 创建一个Test 的Java项目2.在当前创建的java项目Test:在Eclipse中选择:当前项目上(Test)--> New --> Properties --> Java Build Path--> Add Class Folder --> Create New Folder单击ok 完成codebase 文件夹的创建和指定(由于Windchill的codebase文件夹很大,所以这一步骤需要等待片刻)成功之后,将会看到:➢第二种创建方式:1.在Eclipse中选择:File --> New --> Java Project 创建一个TestWindchill 的Java项目→Link additional source --> Browse --> Finish2.Browse →选择codebase3.添加自定义的library库3.指定codebase 的映射:3.指定codebase文件夹编译之后的.class 文件和工程下面的java源文件的映射关系在Eclipse中选择:当前项目上(HelloWindchill)--> New --> Properties --> Java Build Path --> Browse-->单击OK 之后,你可能会发现Java 项目Referenced Libraries里面有个codebase 文件夹了4.添加Windchill环境所需的jar包(推荐使用1)D:\ptc\Windchill_9.1\Windchill里面需要的被导入的jar文件按有:lib、srclib、installlib、WHC\WEB-INF\lib、ant\lib、codebase\WEB-INF\lib、codebase\lib 7个文件夹下的所有jar文件➢ 1.可用自定义一个类库,然后把Windchill 7个lib下面的jar都添加到该库中,以后就可以在开发中直接引用该类库(注意:最好不要覆盖相同名字的jar包,因为他们的jar 的文件大小不同,所以作用也不同,避免在开发中因jar的冲突和版本问题而引起些莫名奇妙的错误)在Eclipse中选择:当前项目上(HelloWindchill)--> New --> Properties --> Java Build Path --> Libraries 选项卡➢ 2.直接引用,7个lib下面的所有jar包第一个Hello World创建HelloWorld.java在Eclipse中选择:当前项目上(HelloWindchill)src --> New --> Package创建一个TestWindchill.java 的类package ;public class TestWindchill {/*** @param args*/public static void main(String[] args) {// TODO Auto-generated method stubSystem.out.println(" Hello World....");}}启动Windchill 服务1.启动Oracle10g 监听服务:OracleOraDb10g_home1TNSListener2.启动Oracle10g 主数据库服务:OracleServiceWIND3.启动Apache 服务4.启动Tomcat 服务成功标志:5.启动control-panel.bat 服务D:\ptc\Windchill_9.1\WindchillDS\server\bat6.启动Windchill Shell 服务,输入windchill start目标:D:\ptc\Windchill_9.1\Windchill\bin\windchill.exe shell 起始位置:D:\ptc\Windchill_9.1\Windchill\bin7.观察Windchill 的两个窗口:ServerManager :MethodServer8.Windchill 启动成功的标志:在MethodServer窗口出现如下标志,表明Windchill 服务启动成功运行HelloWorld.java1.Eclipse控制台会打印:Hello World…2.此时会在Windchill系统的安装目录(D:\ptc\Windchill_9.1\Windchill\codebase)生成如下目录:angus --> com里面会生成TestWindchill.java源文件的编译后的文件:TestWindchill.class此时表明第一个Hello World 程序运行成功3.第一个JSP与Hello Word创建index.jsp在Windchill的安装目录(D:\ptc\Windchill_9.1\Windchill\codebase\netmarkets\jsp)下面新建一个文件夹angus,在里面新建一个index.jsp页面页面内容为:<%@ page language="java" import="java.util.*,.*" pageEncoding="utf-8"%><!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN"><html><head><title>My JSP 'index.jsp' starting page</title><meta http-equiv="pragma" content="no-cache"><meta http-equiv="cache-control" content="no-cache"><meta http-equiv="expires" content="0"><meta http-equiv="keywords" content="keyword1,keyword2,keyword3"><meta http-equiv="description" content="This is my page"></head><body>ddd<% TestWindchill tst = new TestWindchill();%><br><%= tst.helloWindchill() %><%= tst %></body></html>创建TestWindchill.javaTestWindchill.java里面的内容package ;public class TestWindchill {/*** @param args*/public static void main(String[] args) { // TODO Auto-generated method stubSystem.out.println("第一个Windchill程序");}public String helloWindchill(){return"this is the Hello World Windchill...";}}编译后的TestWindchill.class文件会自动映射,放到D:\ptc\Windchill_9.1\Windchill\codebase\angus\com 目录下注意:该目录下的TestWindchill.class 的更新时间访问:在浏览器地址栏输入:http://localhost/Windchill/netmarkets/jsp/angus/index.jsp就可以在Windchill目录使用jsp页面调用Windchill目录java 类的方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
举例
例: if ((H1==3)&&(H2==5)) { H100=H1+H2 ; } 例: if ((H1>10) || (H2<5)) { H100=H1+H2 ; }
LY 2_E 10/1998
如果H1等于3,并且H2等于5时 执行H100=H1+H2; 如果H1大于10,或者H2小于5时 执行H100=H1+H2;
10/1998
IPOS-Compiler编辑器
运行和停止程序
点击 点击 按钮----运行程序 按钮----停止程序
TASK2状态显示
TASK1状态显示
LY 2_E
10/1998
IPOS-Compiler编辑器 变量窗口
选择下拉菜单
Display/All Variables
----显示所有的IPOS(H1---H511)变量
增加显示变量
----编辑显示变量
所有变量区
显示变量区
选择变量
删除显示变量
LY 2_E
10/1998
IPOS-Compiler编辑器 选择显示方式
点击鼠标右键选择 显示方式
显示方式: • 带符号的十进制 • 无符号的十进制 • 十六进制 • 二进制 • ASCII代码
LY 2_E
10/1998
IPOS-Compiler编辑器 注释说明
IPOS-Compiler编辑器 指令说明--- Switch…case…default
表达:
switch ( 表达式) { case Value 1: 程序 1 ; case Value 2: 程序 2 ; . . default: 程序n ; }
说明: 如果表达式为1,执行程序1;
如果表达式为2,执行程序2;
如果H1左移4位,右侧 由0添满
LY 2_E
10/1998
IPOS-Compiler编辑器 指令说明 常用比较指令:
符号
“>” “>=” “<” “<=” “==” “!=”
格式
操作数1 >操作数2 操作数1 >=操作数3 操作数1 <=操作数4 操作数1 <=操作数5 操作数1 ==操作数6 操作数1 !=操作数7
IPOS-Compiler编辑器
Compiler运算指令说明
LY 2_E
10/1998
IPOS-Compiler编辑器 运算指令说明 常用算术运算指令:
符号
“+” “-” “×” “/” H~= H~ + H~ H~= H~ - H~ H~= H~ * H~ H~= H~ / H~
格式
说明
加 减 乘 除
LY 2_E
10/1998
IPOS-Compiler编辑器
比较功能
点击 按钮----编辑器内程序和控制器内程序比较
控制器内程序和编辑器内程序不相同
控制器内程序和编辑器内程序相同
LY 2_E
10/1998
IPOS-Compiler编辑器 编译程序 点击 按钮----编译程序
提示编译成功或不成功
程序占内存的 比例
表达: while (条件) { 程序; } 说明: 如果条件满足,程序循环执行; 如果条件不满足,退出程序; 例2:H1 = 0; 例1:H2 = 0;
H1 = 10; while ( H1 > 5 ) { H2 = H2 + 1; H1=H1-1; } while ( H1 < 20 ) { H1=H1+1; if ( H1 > 10 ) continue; H2 = H2 + 2; } 注:如果H1大于10,将不执行 H2=H2+2指令,跳到while 的末端。
异或运算
LY 2_E 10/1998
IPOS-Compiler编辑器 指令说明 常用移位运算指令:
符号
“<<” “>>” 操作数<<左移位数 操作数>>右移位数
格式
说明
操作数左移 操作数右移 H3=H1<<2 H3=H1>>10
举例
例: H3=H1<<; 4 H1---0000,0000,1010,0101 H3---0000,1010,0101,0000
IPOS-Compiler编辑器 指令说明 常用位与位逻辑运算指令:
符号
“&” “|” “^”
格式
(操作数1)&(操作数2) (操作数1)|(操作数2) (操作数1)^(操作数2)
说明
位和位与 位和位或 位和位异或 H3=H1&H2 H3=H1 | H2 H3=H1 ^H2
举例
H1---0000,0000,1111,1100 H2---0000,0000,0000,0101 H3---0000,0000,0000,0100
说明: 便于用户编译和 解读程序。
多行注释 /* ... */
单行注释 // ...
单行注释//…
LY 2_E
10/1998
IPOS-Compiler编辑器 程序信息
选择下拉菜单
Display/Program Information
----显示程序信息
程序内存
创建日期
原文件目录
LY 2_E
10/1998
举例
H10=H11+ H12; H10=H11 - H13; H10=H11 * H14; H10=H11 / H15;
LY 2_E
10/1998
IPOS-Compiler编辑器 运算指令说明 常用逻辑运算指令:
符号
“&&” “||”
格式
(条件1)&&(条件2) (条件1)||(条件2)
说明
与 或
10/1998
IPOS-Compiler编辑器 指令说明--- if….else
说明:
表达:if(条件) { 程序1; } else { 程序2; }
如果条件满足,执行程序1; 如果条件不满足,执行程序2。 例:
无 else 分支
if ( H1 == 3 ) H2 = 10;
有 else 分支
if ( H1 == 3 ) H2 = 10; else H2 = 8;
H482(OUTPUT LVL)---开关量输出
二进制输出 端子 H482.X 含义 DO17 10 2
10
开关量输出DIO11A DO16 9 2
9
主板开关量输出 DO12 5 2
5
DO15 8 2
8
DO14 7 2
7
DO13 6 2
6
DO11 4 2
4
DO10 3 2
3
DO02 2 2
2
DO01 1 2
程序扫描时间
LY 2_E
10/1998
IPOS-Compiler编辑器 编译并下载程序 点击 按钮----编译并下载程序
提示编译成功或不成功
LY 2_E
10/1998
IPOS-Compiler编辑器 插入指令行 点击
C-结构
按钮----插入指令行(编辑器提供了标准的输入方式)
系统功能
LY 2_E
LY 2_E 10/1998
例3:
H1 = 0; while ( 1 ) { ++H1; if ( H1 == 20 ) break; if ( H1 > 10 ) continue; H2 = H2 + 2; } 注:如果H1等于20,将退出while 指令;如果H1大于10,跳到 while的末端。
1
DB00 0 2
0
注:
• H482开关量输入为只读。 • 例:H482.6=1代表了DO13为高电平的状态。 • 例:H482=19=24+21+20代表了DO11、DI01和DB00为高电平的状态,
其余开关量输出为低电平。
LY 2_E
10/1998
IPOS-Compiler编辑器
H481(OUTPUT LVL)---开关量输出---只写
IPOS变量包括系统变量、全局变量和用户变量。
• 系统变量为有固定含义变量(H453---H511)。 • 全局变量为特殊应用模块中指定的变量。 • 用户变量为用户程序中可任意调用的变量。
LY 2_E
10/1998
IPOS-Compiler编辑器 变量窗口
选择下拉菜单
Display/Variable watch/Edit window
11
10 2
10
9 2
9
8 2
8
5
6
4
5
3
4
2
3
1
2
0
1
2
2
2
2
2
2
2
2
0
注:
•开关量输入为只读。 • 例:H483.6=1代表了DI10为高电平的状态。 • 例:H483=19=24+21+20代表了DI04、DI01和DI00为高电平的状态,
其余开关量输入为低电平。
LY 2_E
10/1998
IPOS-Compiler编辑器
IPOS-Compiler编辑器
编辑器软件说明
LY 2_E
10/1998
IPOS-Compiler编辑器 激活编辑器
开始IPOSCompiler编辑器
LY 2_E
10/1998
IPOS-Compiler编辑器 编辑器程序窗口说明
菜单栏 工具栏
目录栏
程序窗口
状态栏
LY 2_E
10/1998