电工电子综合实验II 数字钟的设计(a)
电子综合实验报告——数字钟范文
电子综合实验报告——数字钟一、实验题目:数字钟二、实验内容:设计一个带闹钟功能的24小时计时器。
它包括以下几个组成部分:①显示屏,由8个七段数码管组成,用于显示当前时间(时:分:秒)或正在设置的当前时间;② Time键、HSet键、MSet键分别用来启动设置时钟的时、分;③复位键,用来还原时钟到初始默认值;④ Alarm键,用于启动设置闹钟时间;⑤ A键组,用于输入2进制闹钟时间;⑥扬声器(这里用一个发光二极管代替),在当前时钟时间与闹钟时间相同时,发出蜂鸣声(二极管亮)。
主要功能:(1) 计时功能:这是本数字闹钟设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。
(2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则“扬声器”发出“蜂鸣声”(二极管亮)。
(3) 设置新的数字钟时间:用户用Time键、HSet键、MSet键对当前时间进行修改。
(4) 设置新的闹钟时间:用户用Alarm键及A键组对闹钟时间进行修改。
(5) 显示所设置的闹钟时间:A键组直接展示输入的闹钟时间。
三、设计方案根据系统的设计要求,整个系统分为4个模块:时间计数器、闹钟寄存器、分频器、数码管显示模块。
功能介绍:(1) 时间计数器:实际上是一个异步复位、异步置数的累加器,通常情况下进行时钟累加计数,必要时可置入新的时钟值,然后从该值开始新的计数。
(2) 闹钟寄存器:用于保存用户设置的闹钟时间,并可设置新的闹钟时钟时间并判断当前时间是否等于闹钟时间。
(3)分频器:把板上输入的6MHz的频率分为1Hz和1kHz,1Hz用于数码管显示,1kHz用于时钟计时。
(4)数码管显示模块:用来显示闹钟时间或当前时间。
设计思路:顶层RTL图:(一)时间计数器:在正常计时,前面计数器的cout(进位端)作为下一个计数器的信号。
当修改当前时间时,选用频率更快的信号,使所显示的时间的值较快的达到要设定的值。
此处用一个2选1的选择器来选择适当的信号。
南理工电工电子综合实验(II)数字钟优秀
南京理工大学电工电子综合实验(II)实验报告姓名:学号:学院:专业:指导老师:目录一、实验内容 (3)二、设计原理 (3)分部原理图 (4)1.脉冲信号发生电路 (4)2.计时与显示电路 (4)3.校分电路 (5)4.清零电路 (6)5.报时电路 (7)整体原理图 (8)三、遇到的问题及解决方法 (9)四、实验体会 (9)五、附录 (10)1.元件清单 (10)2.芯片引脚图和功能表 (11)3.参考文献 (12)一、实验内容1、设计一个脉冲发生电路,为计时器提供脉冲、为报时电路提供驱动蜂鸣器的1HZ脉冲信号。
2、设计计时电路,完成 0分00秒—9分59秒的计时功能。
3、设计清零电路,具有开机自动清零功能,并且在任何时候,闭合清零开关,可以进行计时器清零。
4、设计校分电路,在任何时候,闭合校分开关,可进行快速校分。
5、设计报时电路,使数字计时器从9分53秒开始报时,每隔两秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1KHZ),9分59秒发高音(频率2KHZ)。
二、设计原理数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。
其原理框图如下:图1:数字计时器原理框图数字计时器以一个标准频率(1Hz)进行计数,实验使用了石英晶体振荡器构成脉冲发生电路以保证其准确与稳定。
为使电路更加简单,使用CD4518对计时器的秒个位和分十位进行计数,用74LS161构成模六(六进制)计数器实现对秒的十位进行计数。
利用计数器的异步清零端,通过简单的电路使电路具有开机清零功能和随时清零功能。
利用校分电路,校正分时刻的数字,并可以利用校分先于蜂鸣电路来节省时间。
分部原理图:1.脉冲信号发生电路振荡器是数字时钟的重要组成部分。
石英晶体振荡器提供的脉冲频率为32768Hz(=215Hz),而分频器CC4060的最大分频系数是214,因此两者组合最小可提供2Hz的脉冲信号,为得到秒脉冲信号,还需经过一个二分频器件(由D 触发器74LS74实现)。
电子数字钟的设计与制作
电子数字钟的设计与制作
设计和制作电子数字钟的步骤如下:
1. 确定需求:确定所要设计的电子数字钟的功能要求,如显示时间、日期、闹钟功能等。
2. 选取器件:选取合适的微控制器、显示屏、时钟芯片、按键等器件。
微控制器需要具备足够的处理能力和接口,以便于控制显示屏和处理输入信号。
3. 硬件设计:根据选取的器件,设计电路图和PCB布局。
包
括时钟电路、显示电路、按键电路、电源供电电路等。
4. 软件开发:编写嵌入式软件程序,实现时钟的各种功能。
包括处理时间的计算与显示、闹钟功能的设置与触发、用户界面的交互等。
5. 制作电路板:利用电子设计软件将电路图转化为PCB文件,并进行打样加工,制作出电路板。
6. 组装调试:根据设计好的布局,将所选取的器件焊接到电路板上。
完成后进行电路的检查、组装和连线等工作。
7. 软件烧录:通过编程器将软件程序烧录到微控制器中。
8. 调试测试:进行电源接入,对时钟的各个功能进行测试调试,确保其正常运行。
9. 外壳设计与制作:设计合适的外壳以保护电子数字钟,可以采用3D打印、注塑等方式制作外壳。
10. 最终装配与测试:将完整的电子数字钟进行装配,并进行
最后的测试以确保其功能正常。
数字电路设计数字钟实验设计报告
数字电路设计数字钟实验设计报告数字钟实验设计报告摘要:数字钟由一个主要由数字电路设计的微处理器、低成本的时钟源和多种兼容的表盘等组成的装置,可以测量出精确的时间。
本报告描述了数字钟的方案设计,其中包括微处理器的软件设计,硬件设计和驱动电路设计,以及可靠性测试和实验结果等。
最终,测试结果表明,本设计的数字钟可以准确地传递时间信息,从而实现准确度高、功耗低、复杂性低和结构简单的数字钟特性。
关键词:数字钟,微处理器,低成本时钟源,表盘1 绪论随着科技的发展,数字钟越来越普及,在生活中得到了广泛的应用。
数字钟可以有效地表达时间信息并实现精确的控制,可以帮助我们更加准确的了解世界的运行。
但是数字钟仍然是一个相对复杂的系统,牵涉到许多小细节设计,用户需要一定的技术能力来搭建和使用。
本文针对性地介绍了一种面向数字钟的设计方案,针对该方案中的微处理器硬件设计和软件设计,以及低成本的时钟源设计和相应的表盘的驱动电路设计,在此基础上介绍可靠性测试以及实验结果回顾。
2 方案及实现2.1 微处理器硬件设计本次实验采用元件有:PIC16F886 、将军F01A-A 、 LAB-04 、 BIN-24等,出差控制器为PIC16F886。
PIC16F886具有良好的抗干扰性,提供快速响应,是一款可靠的单片机芯片,同时还支持模拟、模数和数字信号处理功能。
为确保实验数据的准确性,PIC16F886还使用了可编程IO(PIO)和数字输入/输出的能力。
PIO的特点是可以用作模拟输入和输出,PIO的引脚IEB可以用作输出或输入,可以有效提高微处理器的运行效率。
2.2主软件设计PIC16F886系统由8个模块组成,包括数据存储模块、数字I/O模块、控制模块、输出模块、TC/RC计数器模块、比较器模块、运算放大器模块和系统复位块。
本次实验采用元件的低成本的时钟源,将实时时钟信号输入到芯片,通过微处理器可编程IO模块将实时时钟转换为精确的时钟信号,然后将精确的时间信息输出到表盘。
数电课设--数字钟的设计
数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。
本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。
关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。
本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。
二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。
数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。
三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。
下面分别进行介绍。
(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。
其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。
计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。
时间调整功能通常是通过8255接口芯片实现。
(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。
四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。
2. 设计好数字钟的原理图,并选择适合的元件进行接线。
3. 进行电路调试和测试,对电路进行稳定性测试等。
电工电子综合课设-数字钟设计
目录引言 (1)一、设计意义及要求 (2)1.1设计意义 (2)1.2设计要求 (2)二、方案设计 (2)2.1设计思路 (2)2.2方案设计 (2)2.2.1设计方案一电路图 (2)2.2.2设计方案二电路图 (3)2.3方案比较 (3)三、部分电路设计 (4)3.1秒脉冲信号发生器 (4)3.2 秒、分、时计时器电路设计 (4)3.2.1 六十进制计数器 (4)3.2.2二十四进制计数器 (5)3.3 译码显示电路 (6)3.5整点报时电路 (7)四、调试与检测 (8)4.1 调试中的故障及解决办法 (8)4.1.1 乱码 (8)4.1.2 报时不理想 (8)4.1.3 小时十位不进位 (8)4.1.4 跳变严重 (9)4.2 调试与运行结果 (9)4.2.1 组装电路方法 (9)4.2.2 运行结果 (9)五、仿真操作步骤与使用说明 (9)5.1仿真操作步骤 (9)5.2使用说明 (9)六、结束语 (10)七、附录 (10)附件1:参考文献 (10)附件2:元器件清单 (11)附件3:本科生课程设计成绩评定表 (12)引言武汉理工大学《电工电子综合课程设计》说明书(数字时钟设计)数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。
它是由数子钟电路、定时电路、放大执行电路、电源电路组成。
为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。
具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。
一、设计意义及要求1.1设计意义设计数字钟是为了让我们了解数字钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。
而且通过数字钟的制作我们可以亲身实践在实际制作中中小规模集成电路的作用以及使用方法。
由于数字钟包含组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理及使用方法,以及各种电路之间是怎样联系起来的。
电工电子课设----数字钟的设计与制作
电工电子技术课程设计报告——数字钟的设计与制作一、数字时钟简介及设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与传统的机械式时钟相比具有走时准确,显示直观且无机械装置等优点,除此之外它还具有更长的使用寿命,因此得到了广泛的应用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制作数字钟主要是为了了解数字钟的原理,进而学会制作数字钟.而且通过数字钟的制作进一步了解各种在制作过程中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求(1)设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~7日为七进制计数器;⑤校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;⑥整点报时功能,当时间到达整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真与调试。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
数字电子钟的总体图如图(1)所示。
由图(1)可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示部分等。
四.主要部分的实现方案1 秒脉冲电路由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供给时钟计数脉冲。
电工实验二数字钟 南理工
电工电子综合实验(2)多功能数字钟设计姓名:学号:专业:电气工程及其自动化时间:2017年9月目录一.设计内容简介 (3)二.实验要求 (3)三.实验原理 (4)四.电路设计原理及其电路图 (5)1.分频电路 (5)2.计时电路 (6)3.清零电路 (8)4.校分电路 (9)5.报时电路 (10)五.遇到问题及解决办法 (11)六.实验体会 (12)七.附录 (12)1.工具及器件清单 (12)2各元件的引脚图及功能表 (14)3总电路逻辑图 (18)4.参考文献 (19)一.设计内容简介本实验采用中小规模集成电路设计一个由脉冲发生电路,计时电路,译码显示电路,和控制电路(包括清零电路,校分电路,和报时电路)等四部分组成的数字计时器。
二.实验要求1、设计一个脉冲发生电路,为计时器提供脉冲、为报时电路提供驱动蜂鸣器的脉冲信号;2、设计计时电路,完成 0分00秒—9分59秒计时功能;3、设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零;4、设计校分电路,在任何时候,拨动校分开关,可进行快速校分;5、设计报时电路,使数字计时器从9分53 秒开始报时,每隔两秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1KHZ),9分59秒发高音(频率2KHZ);6、系统级联调试,将以上电路进行级联完成计时器的所有功能;三.实验原理数字计时器由脉冲发生电路、计时电路、译码显示电路、校分电路、清零电路和报时电路这几部分组成。
其原理框图如下:数字计时器以一个标准频率(1Hz)进行计数。
为了其准确并且稳定,实验使用了石英晶体振荡器构成脉冲发生电路。
为了使电路更加简单,使用CC4518的对计时器的秒的个位和分的十位进行计数,用74LS161构成模六(六进制)计数器实现对秒的十位进行计数。
利用计数器的异步清零端,通过简单的电路使电路具有开机清零功能和随时清零功能。
电工大作业-数字电子钟的设计
一、设计要求设计一个电子时钟,并使它具有自动运行的功能。
要求:1、用数码管显示:小时、分、秒。
24小时制或12小时制均可。
2、应用所学知识,产生1s的时钟信号,然后经过进位计数器,最后经过数码管示。
3、对使用何种电子元件及数量无要求。
二、设计思路电子时钟主要为秒信号发生器,计数部分和显示部分组成,用石英晶体震荡构成秒信号发生器,将信号输入计数部分,然后显示。
1、时钟信号:用555计时器和74LS161计数器实现1Hz的方波信号2、进位计数:用74LS90实现60,60,24进制,即实现时钟的计时3、显示设置:用数码管接74LS90实现时间的显示三、电子元件1、七段显示器6个2、计数器(74LS161)4个3、计数器(74LS90)6个4、与非门(74LS00)2个5、反相器(74LS04)2个5、石英晶体1个6、电阻、电容、导线等四、流程设计1、信号发生部分石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整、它是电子时钟的核心,用它产生标准频率信号,在由分频器分成秒时间脉冲。
下图为用反向器与石英晶体构成的振荡电路:(反向器与石英晶体构成的振荡电路)石英晶体振荡器选用32768Hz的石英晶体,发生的信号不符合要求,但通过分频,课产生1Hz的秒信号。
因为32768÷16÷16÷16÷8=1,所以用3个16分频和1个8分频就可以使信号达到要求。
用4个16进制计数器74LS161组成分频电路,与晶振部分共同组成信号发生部分。
如下图:(1Hz信号发生器)2、计数部分和显示部分整个计数器电路由秒计数器、分计数器、时计数器串接而成。
计数器之间采用并行接法,将进位输出信号接到置数端实现清零功能。
秒计数器和分计数器各自由一个十进制计数器和一个六进制计数器组成,形成两个六十进制计数器。
时计数器为两个十进制计数器接成的二十四计数器。
(本部分全部用74LS90计数器实现)秒计数器的设计:秒位60进制计数及显示电路四输入的数码管(显示作用)60秒后给分位的时钟信号信号发生器产生的1Hz信号分计数器的设计:分位60进制计数及显示电路四输入的数码管(显示作用)60分后给小时位的时钟信号从秒计数器来的时钟信号小时位采用24小时计时制设计过程:采用芯片为74LS90的2-10进制计数器,数量为两片。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
电子技术综合设计报告数字钟设计
摘要本次实验的主要内容是设计多功能的数字钟。
数字钟是一种用数字显示分,秒,时的记时装置,相比于传统机械时钟,它具有走时准确,显示直观等优点,并且得到广泛应用。
数字钟主要由时,分,秒计数器以及校时和显示电路组成。
利用60进制和24进制递增计数器子电路构成数字时钟系统,由2个60进制同步递增计数器完成秒,分计数,由一个24进制同步递增完成小时计数。
要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲。
设计的数字钟除了具有校准功能,自带秒脉冲信号发生器的基本功能外,我们还要设计一些扩展功能。
本设计的提高功能:小时的计数要求为“12归1”。
我们在对基本原理和软件方面有了一定的了解的基础上,然后还要亲自动手焊接出数字钟。
通过软件,硬件的结合,我们才能对数字钟有更好的了解,学习更多的知识,掌握更多的技能。
关键词:多功能数字钟;CC4518、CC4511、555芯片;计数器;多谐振荡器目录1 数字钟的基本组成及工作原理 (1)1.1数字钟的构成 (1)1.2数字钟的工作原理 (1)2 数字钟的设计与制作 (3)2.1系统方案选择与论证 (3)2.2设计步骤与方法 (3)2.2.1 NE555脉冲电路产生 (3)2.2.2计数器电路 (5)2.2.3译码显示电路 (7)2.2.4校时电路 (10)2.3数字钟仿真 (11)2.3.1数字钟电路原理图(见附录4) (11)2.3.2系统整体仿真图(见附录6) (11)2.3.3 PCB板图(见附录2) (11)3 数字钟的扩展功能 (12)3.1定点报时 (12)3.2 12归1 (13)4 数字钟的焊接及注意事项 (15)4.1焊接元件清单(见附录3) (15)4.2实际焊接的印刷电路板元件分布图(见附录5) (15)4.3焊接注意事项 (15)5 系统软、硬件调试 (17)5.1系统软件调试过程中遇到的问题以及排查经过 (17)5.2系统硬件调试过程中遇到的问题以及排查经过 (17)6 总结及体会 (18)7参考文献 (19)附录 (20)附录1: (20)附录2: (21)附录3: (22)附录4: (23)附录5: (24)附录6: (25)1 数字钟的基本组成及工作原理1.1数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
电工电子技术课程设计-数字电子钟
课程设计报告课程名称:电工电子技术课程设计学院:专业:班级:学号:姓名:成绩:2014年1月15日目录前言 (2)一、实训任务 (3)1、基本任务 (3)2、设备要求 (3)二、设计方案 (3)1、层次化设计 (3)2、系统示意图 (3)三、设计过程 (4)1、小时计时模块 (4)2、分钟计时模块 (7)3、秒计时模块 (8)4、校时校分模块 (9)4、整点报时模块 (10)5、闹钟控制模块 (11)6、控制模块 (12)7、跑表模块 (13)8.秒、分、小时计时单元功能电路模块 (14)9. 秒、分、小时计时、校正、整点报时、闹钟单元功能电路模块 (15)10. 秒、分、小时计时、校正、整点报时、闹钟、跑表单元功能电路模块 (15)四、联机操作 (16)1、分配引脚: (16)2、编译 (16)3、下载 (16)五. 收获和体会 (17)六. 参考文献 (17)1. EDA技术实用教程——Verilog HDL版(第四版)潘松、黄继业、潘明编著。
(17)2. FPGA设计基础——王传新主编 (17)前言数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
本次的课程设计是基于Verilog HDL的多功能数字钟,完成时、分、秒的显示功能。
设计利用Verilog HDL语言自顶向下的设计理念,突出其作为硬件描述语言的良好的可读性、可移植性以及易于理解等优点。
通过Quartus II 5.0和ModelSim SE 6.1f软件完成仿真、综合。
程序下载到FPGA 芯片后,可用于实际的数字钟显示中。
本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。
电工电子实验设计报告(数字时钟)
电工电子实验(具体设计课题)设计报告班级:电信092 学号:910706215 姓名:戴文滨设计要求:基本要求:60分(时分秒显示,可调整时间)高级要求:40分(可设闹钟,整点报时)设计所需元件:2个74LS160,2个74LS162,7个74LS00,5个74LS20,2个74LS51,6个携带译码器的数码管,2个蜂鸣器,2个三极管和若干个电阻及电源。
设计所涉及的设备:Multisim仿真软件设计所涉及的原理:利用到计数器原理(如:60进制和24进制):对于60进制:计数器芯片74LS162的使能端ENP和ENT及LD’接高电平,计数器进入计数状态。
当给计数器的秒(分)个位CLK端施加脉冲信号时,开始计数,输出端Q0~Q3将结果输出给译码器。
当秒(分)个位输出结果是1001时,一方面由RCO=(Q3Q0)’的结果0输送给秒(分)十位的计数器CLK端,实现进位,并驱动秒(分)十位计数器工作。
另一方面Q3,Q0的高电平经过与非门的结果0反馈给秒(分)个位的CLK端,使秒(分)个位自动清零。
由此,达到秒(分)个位清零,并同时向十位进位的目的。
同理于秒(分)十位,当其输出端结果为0101时,其Q2,Q0的高电平经过与非门的结果0反馈给秒(分)十位的CLK端,使秒(分)十位自动清零。
同时,当秒(分)个位输出为1001及秒(分)十位输出为1001时,其结果通过与非门分(时)个位进位。
此时数码显示器的秒(分)个位的数字从0变化到9,十进制状态;秒(分)十位在个位的进位下从0变化到5,六进制状态。
对于24进制:计数器芯片74LS160的使能端ENP和ENT及LD’接高电平,计数器进入计数状态。
当给计数器的时个位CLK端施加脉冲信号时,开始计数,输出端Q0~Q3将结果输出给译码器。
当时个位输出结果是1001时,一方面由RCO=(Q3Q0)’的结果0输送给时十位的计数器CLK端,实现进位,并驱动时十位计数器工作,同时个位自动清零。
数字钟电路的设计与制作
数字钟电路的设计与制作数字钟电路是一种常见的电子设计,它可以非常简单地显示出当前的时间,这种钟可以用在家庭和商业中,也可以放在公共场所和办公室中。
数字钟电路的设计和制作需要一定的电子知识和技术,下面将详细介绍数字钟电路的设计和制作过程。
数字钟电路的设计需要考虑多个方面,包括时钟芯片、显示屏、电源和按键等。
首先是时钟芯片的选择,这个芯片的作用是提供精确的时间数据,数字钟电路使用的最常见的时钟芯片是DS1307。
DS1307是一个非常好用的实时时钟芯片,它通过I2C接口和单片机通信,可以提供年、月、日、时、分、秒和星期等信息。
在使用DS1307芯片时需要注意时钟芯片的连接,要保证它的供电和通信正确连接,这可以通过查看数据手册来设置。
其次是显示屏的选择,数字钟电路通常使用7段数码管来显示时间信息,这种显示屏可以显示数字、字母和符号。
选择显示屏时需要考虑它的亮度、大小和功耗等因素,在选择的时候应该评估这些因素以确保选择了合适的显示屏。
电源是数字钟电路不可缺少的组成部分,数字钟电路通常使用直流电源供电,供电电压通常在3V到5V之间。
数字钟电路的功耗很低,只需要很少的电能,所以可以选择很小的电源,例如小型锂电池、太阳能电池等。
最后是按键,数字钟电路通常需要设置按键来调整时间和日期等参数,因此需要选择合适的按键来保证操作的方便和舒适。
数字钟电路的制作需要打印电路板、焊接元件和编程单片机等步骤。
首先是打印电路板,电路板是数字钟电路的核心部分,需要按照设计图纸打印出所需的电路板。
打印电路板的过程需要注意先清洗电路板,然后使用特殊的UV光照射设备将设计图纸转移到电路板上面。
其次是焊接元件,数字钟电路需要焊接多个元件,包括时钟芯片、显示屏、按键和电容等。
焊接之前需要将元件按照设计图纸的要求放置电路板上面,并使用焊锡将元件固定在电路板上面。
最后是单片机编程,数字钟电路使用单片机来控制时钟芯片、处理输入信息和显示时间等功能。
数字电子时钟设计
数字电子时钟设计数字电子时钟是一种简单易用、精度高、使用方便的时钟仪器。
在现代化的生活中,数字电子时钟已经成为人们生活和工作中不可缺少的一部分。
本文将介绍数字电子时钟的设计及其原理。
1. 数字电子时钟的结构数字电子时钟一般由数字显示器、电源、时钟芯片、振荡电路和控制电路等几个部分组成。
数字显示器:数字电子时钟采用的是七段数码管作为显示器,显示出当前时刻的时间。
电源:数字电子时钟的电源一般采用直流电源,可以通过普通的插座或者电池供电。
时钟芯片:时钟芯片是数字电子时钟的核心部分,可以提供高精度的时钟信号,并且可以根据用户设置的时间来进行计时。
振荡电路:振荡电路是数字电子时钟的发挥器,用于产生一个稳定的高精度的时钟信号。
控制电路:控制电路主要用于对数字电子时钟进行各种设置,并且可以控制数字电子时钟的各种功能。
2. 数字电子时钟的操作原理数字电子时钟的操作原理是通过时钟芯片来实现的。
时钟芯片可以提供一个高精度的时钟信号,这个时钟信号可以被控制电路所接收,并且控制电路可以将这个信号转化为秒、分、时等时间单位。
随着科技的发展,数字电子时钟的精度越来越高,可以达到秒级甚至毫秒级的精度。
这些高精度的时钟芯片可以通过电子时钟所连接的振荡电路来产生非常稳定的时钟信号。
3. 数字电子时钟设计的技术要求数字电子时钟的设计需要考虑以下几个方面的技术要求:(1)高精度的时钟信号数字电子时钟的时钟信号需要具有高精度,通常要求时钟误差不超过几秒钟。
这就需要时钟芯片具有非常高的精度的时钟信号源,同时还需要连接高精度的振荡电路。
(2)显示效果清晰明了数字电子时钟的显示效果要求非常的清晰明了,这就需要采用高质量的七段数码管,并且数量要足够,以显示出完整的时间信息。
(3)快速响应、稳定性好由于数字电子时钟是人们生活和工作中不可缺少的一部分,因此数字电子时钟的响应速度和稳定性也非常的重要,需要在设计时特别注重。
4. 数字电子时钟的优点和缺点数字电子时钟有以下几个优点:(1)高精度稳定数字电子时钟可以提供高精度的时钟信号,并且可以保持这个时钟信号的稳定性,误差范围非常小。
电工电子综合实Ⅱ多功能数字计时器实验报告
南京理工大学电工电子综合实Ⅱ多功能数字计时器实验报告一、实验目的及内容1、实验目的(1)掌握常见集成电路工作原理和使用方法。
(2)学会单元电路设计与组合方法。
2、设计要求实现00:00到59:59的数字计时功能3、设计内容(1)设计实现信号源的单元电路。
(KHz,22,≈)3≈≈1≈1500FHzFFHzHz4,F1(2)设计实现00’00”—59’59”计时器单元电路。
(3)设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
(4)加入任意时刻复位单元电路(开关K2)。
(5)设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、设计电路的用途及原理简介数字计时器实际上是一个对标准频率(1HZ)进行计数的计数电路。
计时器一般由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。
秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响。
报时电路通过500Hz 或1kHz的信号和要报时的时间信号进行“与”的运算来实现的定点报时的。
各个信号“与”运算关系如下:f+ 59:594fH报时=(59:53+59:55+59:57)3f QH校分=秒进位Q +2H清零=复位+K2整体结构框图如下:图一:数字计时器逻辑框图三、电路所需元器件元件型号数量NE555 1片CD4040 1片CD4518 2片CD4511 4片74LS00 3片74LS20 1片74LS21 2片74LS74 1片电容0.047uf 1只电阻150Ω4只电阻1kΩ1只电阻3kΩ1只双字屏共阴显示器2块数字逻辑实验仪1台表一:元器件清单四、单元电路设计原理1、脉冲发生电路:(1)NE555:555集成定时器是一种将模拟和数字电路集成于一体的电子器件,使用十分灵活方便,只要外加少量的阻容元件,就能构成多用途的电路,故其在电子技术中得到了广泛的运用。
电子线路综合设计课程设计数字电子钟设计
华南农业大学电子线路综合设计数字电子钟班级:14电气类8班组别:4指导教师:2016年月电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。
本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。
整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。
秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。
整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。
校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。
为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。
根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。
关键词:晶体振荡器CD4060 CD4511 74LS901系统概述 (1)1.1 设计任务和目的 (1)1.2系统设计思路与总体方案 (1)1.3设计方案选择 (1)1.4总体工作过程 (2)1.5各功能模块的划分和组成 (2)2电路系统设计与分析 (4)2.1秒信号的发生电路 (4)2.2时、分、秒计数电路 (5)2.3译码显示电路 (6)2.4时、分校正电路 (7)2.5整点报时电路 (8)3电路的安装与调试 (9)3.1安装调试的步骤 (9)3.2电路软件仿真调式 (9)3.3电路焊接及实物调式 (10)3.4实验过程可能存在的问题 (10)4实验数据和误差分析 (11)5实验结论及分析 (11)6实验收获、体会和建议 (12)参考文献 (13)附录1元器件清单明细表 (14)附录2总原理接线图 (15)附录3 电路焊接实物图 (16)致谢 (17)1 系统概述1.1 设计任务和目的此次设计为一种多功能数字钟,该数字钟具有的基本功能包括能准确计时,以数字形式显示时、分、秒、可实现手动或自动的对时、分进行校正以及具有整点报时功能。
数字钟的设计实验报告
一、设计目的1.熟悉集成电路的引脚安排。
2.掌握各芯片的逻辑功能。
3.了解面包板结构及其接线方法。
4.了解数字钟的组成及工作原理。
5.熟悉数字钟的设计与制作。
二、设计指标1. 时间以24小时为一个周期。
2. 显示时、分、秒。
3. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
三、具体要求1.画出电路原理图。
2.设计各个功能模块的电路图。
3.选择合适的元器件,设计、选择合适的输入信号和输出方式,在确保电路正确的同时,输出信号和输入方式要便于电路的测试和故障排除,在线路板上接线验证、调试各个功能模块的电路。
4.对整个电路的元器件和布线进行合理布局,进行整个数字时钟电路的接线测试。
四、设计原理及其框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
下图为数字钟的一般构成框图:(1)晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32 768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
(2)分频器电路分频器电路将32768Hz的高频方波信号经32768(2的15次方)次分频后得到1Hz的方波信号供秒计数器进行计数。
分频器实际上也就是计数器。
(3)时间计数器电路时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。
(4)译码驱动电路译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)数码管数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。
2.数字钟的各个单元电路工作原理(1)晶体振荡器电路晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。
电子电工实训报告数字钟
一、前言随着科技的不断发展,电子技术在国民经济的各个领域得到了广泛应用。
作为电子信息时代的重要基础,电子电工技术的重要性不言而喻。
为了提高学生的实际操作能力和对电子电工技术的理解,我们开展了数字钟的实训课程。
本文将对本次实训过程进行总结和反思。
二、实训目的1. 熟悉数字钟的工作原理和电路结构。
2. 掌握数字钟的元件选择、焊接、调试和故障排除方法。
3. 培养学生团队合作精神和动手实践能力。
4. 提高学生对电子电工技术的认识和兴趣。
三、实训内容1. 数字钟电路原理讲解数字钟是一种电子计时设备,主要由石英晶体振荡器、计数器、译码器、显示器等组成。
本次实训所使用的数字钟电路主要由以下部分组成:(1)石英晶体振荡器:产生稳定的频率信号;(2)计数器:对时间进行计数;(3)译码器:将计数器输出的信号转换为显示器可显示的信号;(4)显示器:显示时间;(5)校时电路:对时间进行校准。
2. 元件选择与焊接根据电路原理图,选择合适的元件,如:石英晶体振荡器、计数器、译码器、数码管、电阻、电容等。
焊接时,注意焊接质量,确保电路连接可靠。
3. 电路调试连接好电路后,对数字钟进行调试。
首先检查电路连接是否正确,然后调整石英晶体振荡器的频率,使数字钟正常计时。
在调试过程中,注意观察各元件的工作状态,发现问题及时解决。
4. 故障排除在实训过程中,可能会遇到各种故障,如:计时不准确、显示不正常等。
针对这些问题,分析故障原因,采取相应的措施进行排除。
常见的故障及解决方法如下:(1)计时不准确:检查石英晶体振荡器的频率是否稳定,调整频率;(2)显示不正常:检查数码管是否损坏,更换新的数码管;(3)电路连接错误:仔细检查电路连接,确保连接正确。
四、实训总结1. 通过本次实训,我们了解了数字钟的工作原理和电路结构,掌握了数字钟的元件选择、焊接、调试和故障排除方法。
2. 在实训过程中,我们学会了团队合作,共同解决遇到的问题,提高了动手实践能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
南京 理 工 大 学 电工电子综合实验Ⅱ实验报告指导老师:实验日期: 2010年12月目次作 者: 学 号:学院(系):电子工程与光电技术学院专 业: 探测制导与控制技术 李元浩一、摘要 (3)二、实验目的及内容 (4)三、设计电路的用途及原理简介 (4)四、电路所需元件清单 (5)五、单元电路设计原理 (5)六、整体电路的设计 (13)七、实验总结和体会 (14)一、摘要时间是人类用以描述物质运动过程或事件发生过程的一个参数,确定时间,是靠不受外界影响的物质周期变化的规律。
自古以来,人类都在努力地探索时间的奥秘。
随着人类社会科技技术的日新月异,各个领域对于时钟的要求也近乎苛刻。
时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
而伴随着数字技术的发展与普及,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。
数字时钟是运用数字电路设计及控制的数字显示的计时装置,广泛地运用于社会生产的各个领域,成为人们日常生活中不可或缺的必需品。
随着数字集成电路技术的飞速发展,数字时钟的精度已经远远超过老式钟表, 而且数字时钟大大地扩展了钟表的功能。
钟表的数字化给人们生产生活带来了极大的便利。
因此,研究数字时钟及扩展其应用,有着重要的实际意义。
本实验要求通过数字电路方法,设计完成一个多功能数字计时器的设计。
该计时器完成从00:00到59:59的计时功能,并在控制电路的作用下实现快速校分,清零,自动报时等功能。
所设计的电路采用中小规模集成电路实现,同时在设计过程中运用计算机软件进行仿真,以验证设计方案的可行性。
通过该实验,掌握数字电路的工作原理及学会设计数字逻辑电路的基本方法,电路调试及故障排除方法,锻炼分析问题解决问题的能力。
关键字:数字电路设计数字时钟计算机仿真清零校分整点报时二、实验目的及内容1、实验目的(1)掌握常见集成电路工作原理和使用方法。
(2)学会单元电路设计与组合方法。
2、设计要求实现00:00到59:59的数字计时功能3、设计内容(1)设计实现信号源电路(11=、41f KHZf HZ=)。
=、22=、3500f HZf HZ(2)设计实现00:00到59:59的数字计器(计数、译码、显示)。
(3)设计实现快速校分电路(K1、2HZ、校分时秒停止,含防抖动功能)。
(4)设计实现可在任意时刻复位(K2)。
(5)设计实现整点报时电路,使数字计时器从59分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即59分53秒、59分55秒、59分57秒发低音(频率为500KHz),59分59秒发高音(频率为1KHz)。
(6)整体完成00:00到59:59的数字计时器电路。
三、设计电路的用途及原理简介数字计时器实际上是一个对标准频率(1HZ)进行计数的计数电路。
计时器一般由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。
秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响。
报时电路通过500Hz 或1kHz的信号和要报时的时间信号进行“与”的运算来实现的定点报时的。
各个信号“与”运算关系如下:H报时=(59:53+59:55+59:57)3f+ 59:594fH校分=秒进位Q +2f QH清零=复位+K2整体结构框图如下:图一:数字计时器逻辑框图四、电路所需元件清单元件清单如下:表一:元件清单五、单元电路设计原理1、脉冲发生电路:元件型号 数量 NE555 1片 CD4040 1片 CD4518 2片 CD4511 2片 74LS00 3片 74LS20 1片 74LS21 3片 74LS74 1片 电容0.047uf 1只 电阻150Ω 4只 电阻1k Ω 1只 电阻3k Ω 1只 双字屏共阴显示器 2块 数字逻辑实验仪1台(1)NE555:555集成定时器是一种将模拟和数字电路集成于一体的电子器件,使用十分灵活方便,只要外加少量的阻容元件,就能构成多用途的电路,故其在电子技术中得到了广泛的运用。
图二:NE555引脚图其中1引脚为接地端,引脚2为触发端,引脚3为输出端,引脚4为复位端,引脚5为控制端,引脚6为阀值端,引脚7为放电端,引脚8为电源。
当将NE555连结成图三所示的多谐振荡电路时,输出端为周期矩形波。
图三:周期矩形波发生电路3号引脚的输出矩形波波形为:图四:矩形波输出波形图由波形图可得T=0.238ms,即错误!未找到引用源。
在经过CD4040的分频之后,即可得到频率大约为1Hz的时钟信号。
(2)CD4040集成电路CD4040是一种常用的12分频集成电路。
当在输入端输入某一频率的方波信号时,其12个输出端的输出信号分别为该输入信号频率的2-1~2-12,在电路中利用其与NE555组合构成脉冲发生电路。
其引脚图如下图五:图五: CD4040引脚图其中VDD 为电源输入端,VSS为接地端,CP端为输入端,CR为清零端,Q1~Q12为输出端,其输出信号频率分别为输入信号频率的2-1~2-12。
将图三所示电路的输出端接至CD4040的输入端,则可以在Q12输出端得到频率大致为1Hz的方波信号。
可以利用其为电子钟的计时信号。
另外,在Q11、Q3、Q2三个输出端得到频率大致为2Hz、500Hz和1kHz的信号,这三个信号在后面介绍的电路中还要用到。
于是脉冲发生电路部分如下图六所示:图六:脉冲发生电路2、计时和译码显示电路 (1) CD4518集成电路CD4518时一种常用的8421BCD 码加法计数器。
每一片CD4518集成电路中集成了两个相互独立的计数器,引脚图如图七所示。
图七: CD4518引脚图CD4518逻辑功能如表二所示。
表二: CD4518 功能表输入 输出CR CP EN Q 3 Q 2 Q 1 Q 0 清零 1 × × 00 0 0计数 0 ↑ 1 BCD 码加法计数保持 0 × 0 保持计数 0 0 ↓ BCD 码加法计数保持1×保持于是,当清零端输入1,EN 端为1且CP 端输入时钟信号。
其输出端Q 3 Q 2 Q 1 Q 0输出从0000到1001(即十进制中的0到9)的循环。
所以当使用其作为分和秒的个位进行计数时不需对其进行反馈清零,而用其进行分和秒的十位计数时,需要在Q 3 Q 2 Q 1 Q 0输出0110时(即十进制中的6),对其进行清零(因为CD4518是异步清零)。
(2)CD4511集成电路CD4511是一种8421BCD 码向8段数码管各引脚码的转换器。
当在其四个输入端输入8421BCD 码时,其7个输出端可直接输出供7段数码管使用的信号。
其引脚图如图八所示:图八: CD4511引脚图 CD4511 逻辑功能如下表三:表三: CD4511 逻辑功能表输入输出LE D C B A g f e d c b a 字符 测灯 0 × × × × × × 1 1 1 1 1 1 1 8 灭零 1 0 × 0 0 0 0 00 0 0 0 0 消隐 锁存1 1 1 × × × ×显示LE=0→1时数据译码1 1 0 0 0 0 0 0 1 1 1 1 1 1 0 1 1 0 0 0 0 1 0 0 0 0 1 1 0 1 1 1 0 0 0 1 0 1 0 1 1 0 1 12 11 0 0 0 1 1 1 0 0 1 1 1 1 3 1 1 0 0 1 0 0 1 1 0 0 1 1 0 4 1 1 0 0 1 0 1 1 1 0 1 1 0 1 5 1 1 0 0 1 1 0 1 1 1 1 1 0 0 6 1 1 0 0 1 1 1 0 0 0 0 1 1 1 7 1 1 0 1 0 0 0 1 1 1 1 1 1 1 8 1 11111 01119根据CD4511的逻辑功能表可知,当错误!未找到引用源。
LD、BI输入为1而LE输入为0时其7个输出端分别输出一定的信号。
只需将这些信号接入8段数码管相对应的引脚即可使其显示我们所需要的数字。
CD4511左侧四个输入端分别连接CD4518的4个输出端。
这样8段数码管就可以正常显示计数器所记载的数字编码了。
由于电路的显示部分不会出现小数,故8端数码管的小数点引脚悬空,故计时和译码显示部分电路如下图九(以秒位为例):图九:计时和译码部分电路图3、清零电路以图九中秒位计时和译码电路为例,图中1片CD4518所集成的两个计数器。
一个为个位计数器,另一个为十位计数器。
引脚9始终接高电平,引脚10接由CD4040所输出的1Hz的时钟信号,每当时钟信号出现下降沿则计数器加1。
接通时钟信号后,输出端引脚Q3Q2Q1Q开始计数。
当输出为1001时需要对十位进位,也就是说,此时需要给控制十位计数的集成电路一个下降沿。
考虑Q3端当且仅当输出由1001变为0000时出现下降沿,于是直接将Q3端作为十位计数器的输入时钟信号。
在接收到第6个下降沿信号后,十位输出端将由0101变为0110。
此时,需要对其进行清零。
考虑电路清零模块,使用两个与非门(图中空置的输入端为清零输入端)。
当CD4518的4号引脚和5号引脚同时输出1时十位被清零。
这就使得其在短暂输出0110后立即被清零成0000。
同时考虑当且仅当十位输出由0101经过短暂的0110变为0000时Q2输出一个下降沿,于是利用其通过校分电路向分钟位进位。
然而本次实验还要求提供整体任意时刻清零的功能,则可以设计一个开关K2,使得当开关闭合时所有4518的清零端全部接高电平,此时即可以实现整体清零目的。
该部分电路采用74LS00二输入端四与非门进行设计,74LS00是一种十分常见的集成电路,其中集成了4个与非门。
其引脚图如下:图十:74LS00引脚图清零部分电路如下图十所示:图十一:清零电路4、校分电路校分电路要求设计一个开关K1,当开关打到计数挡时,计数器正常计数,当开关打到校分挡时计数器可以快速校分,同时秒计数停止。
同时校分电路应具有防颤抖功能。
为使分计数器可以不受秒计数器的进位脉冲的限制,所以校分时选通较快的2HZ的校分信号进行快速校分,同时还要切断1HZ的脉冲,使校分的同时秒计数器停止工作。
校分电路是通过控制分计数器的时钟脉冲信号频率来对分的进行校正的。
当不需要校分时,分的时钟信号由正常的计数器秒的十位提供的脉冲信号控制。
此电路防颤抖的原理在于:当开关在两种状态之间转换时,由于机械振动,在很短的时间中会在高低电平之间来回波动,相应的产生几个上升沿。