基于CPLD的新型无功补偿投切开关控制器设计

合集下载

基于CPLD的PWM控制电路设计说明

基于CPLD的PWM控制电路设计说明
一种基于 CPLD 的 PWM 控制电路设计
2008 年 08 月 04 日
社区交流
介绍了利用硬件描述语言 VHDL 设计的一种基于 CPLD 的 PWM 控制电路,该PWM控制电路具有 PWM 开关频率可调,同侧2路信号互锁、延时时间可调、接口简单等特点,可应用于现代直流伺服 系统。
在直流伺服控制系统中,通过专用集成芯片或中小规模的数字集成电路构成的传 统 PWM 控制电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周 期长等缺点 因此 PWM 控制电路的模块化、集成化已成为发展趋势.它不仅可以使系统 体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高.随着电子技术的发 展,特别是专用集成电路(ASIC)设计技术的日趋完善,数字化的电子自动化设计(EDA) 工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图 设计系统工程的诸多不便.针对以上情况,本文给出一种基于复杂可编程逻辑器件(CPL D)的 PWM 控制电路设计和它的仿真波形. 1 PWM 控制电路基本原理
‘0'; Q<=Qs; cao<=reset or caolock; end a_counter;
在原理图中,延迟模块必不可少,其功能是对 PWM 波形的上升沿进行延时,而不影响 下降沿,从而确保桥路同侧不会发生短路.其模块的 VHDL 程序如下:
entity delay is port(clk: in std_logic; input: in std_logic_vector(1 downto 0); output:out std_logic_vector(1 downto 0) end delay; architecture a_delay of delay is

基于单片机的无功补偿控制器设计本科毕业设计

基于单片机的无功补偿控制器设计本科毕业设计

引言随着现阶段我国经济的发展状况和国际化能源紧张趋势的加剧,加强电能质量和节能降耗的影响已成为十分重要的工作。

无功补偿作为一种电网节能的方式来提高功率因数是一种行之有效地措施。

现阶段我国采用的无功补偿措施主要有同步调相机、并联电容器和静止无功补偿等方式,但这些补偿方式普遍存在着电网冲击和无法实现实时补偿等问题,为了解决以上问题,本设计采用了一种新型的无功补偿技术,该技术利用与电网同频同相的可调电压源与电容并联的方式来实现对电网无功功率的补偿。

本毕业设计利用ATMEL生产的AT89C52单片机控制PWM信号的斩波频率,从而实现对可调电压源信号的控制,使可调电压源信号与电网电压信号同频同相,实现无功补偿。

采用可调电源技术来实现新型的无功补偿主要能够改善以往所采用的无功补偿装置在电容投切过程中所存在的冲击现象和提高无功补偿的响应速度,实现实时补偿。

第1章绪论随着我国经济发展和国际化能源紧张局势的加剧,加强电能质量和节能降耗的影响十分重要,这其中采取无功补偿方式提高功率因数是行之有效的措施。

在电力供电系统中,功率因数的提高是一项重要的技术工作,直接关系到输电线路的电能损耗,供电的经济性,供电质量。

功率因数的补偿措施一直为人们所重视。

研制高性能的功率因数装置具有实际的社会、经济效益。

而且在电力系统中,无功功率要保持平衡,否则,将会使系统电压下降,严重时,会导致使被损坏,系统瓦解。

此外,网络的功率因数和电压降低,使供电设备得不到充分利用,促使网络传输能力下降,损耗增加。

因此,解决好网络补偿问题,对网络降损节能有着极其重要的意义。

1.1无功补偿的意义按电网无功功率补偿方式可分为出串联补偿和并联补偿。

并联补偿方式又可分为电容器组补偿,调电感补偿,调相机补偿的移相补偿等。

本设计我们将采用并联电容器补偿,主要用用单片机技术,实现对低压电力系统的监控。

完成功率因数的测量,并根据所测得数据进行可调电压源的控制,以实现对电力系统的功率因数的补偿。

基于CPLD的开关电容组式跟踪滤波器设计与实现

基于CPLD的开关电容组式跟踪滤波器设计与实现

w o r k we l l o n t h e re f q u e n c y b a n d b e t w e e n 1 MHz t o 3 0 MHz .T h e e x p e r i me n t a l s o s h o w s t h a t t h e d e s i g n p r o v i d e s a s t a b l e b a n d wi d t h a n d h i g h e r t e mp e r a t u r e s t a b i l i t y .T h e o b s e r v e d d a t a o f t h e i f l t e r s h o ws t h a t t h e 3 d B b a n d wi d t h i s 3 0 0 k Hz t o 7 0 0 k Hz ,w i t h
P e n g Yo n g b a n g, S u n F e n g l o u, L a n J i a p i n g, C h e n Ku n
( C o l l e g e o f E l e c t r o n i c s a n d I n f o r ma t i o n E n g i n e e i r n g, S o u t h —C e n t r a l Un i v e r s i t y f o r Na t i o n a l i t i e s, Wu h a n 4 3 0 0 7 4, Ch i n a)
De s i g n a n d i mp l e me n t a t i o n o f t h e s wi t c h -c a p a c i t o r - g r o u p- s t y l e

基于CPLD的PWM控制电路设计

基于CPLD的PWM控制电路设计

基于CPLD的PWM控制电路设计-介绍了利用硬件描述语言VHDL设计的一种基于CPLD的PWM操纵电路,该PWM操纵电路具有PWM开关频率可调,同侧2路信号互锁、延时时刻可调、接口简单等特点,可应用于现代直流伺服系统。

在直流伺服操纵系统中,通过专用集成芯片或中小规模的数字集成电路构成的传统PWM操纵电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点因此PWM操纵电路的模块化、集成化已成为进展趋势.它不仅能够使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提升.随着电子技术的进展,专门是专用集成电路(AS IC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了庞大变革,专门是硬件描述语言的显现,解决了传统电路原理图设计系统工程的诸多不便.针对以上情形,本文给出一种基于复杂可编程逻辑器件(CPLD)的PWM操纵电路设计和它的仿真波形.1 PWM操纵电路差不多原理为了实现直流伺服系统的H型单极模式同频PWM可逆操纵,一样需要产生四路驱动信号来实现电机的正反转切换操纵.当PWM操纵电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随操纵信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平.另外,为防止桥路同侧对管的导通,还应当配有延时电路.设计的整体模块见图1所示.其中,d[7:0]矢量用于为微机提供调剂占空比的操纵信号,cs为微机提供操纵电机正反转的操纵信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出.其内部原理图如图2所示.该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于操纵信号、辨论力为1/256的PWM信号.I8模块为脉宽锁存器,可实现对来自微机的操纵信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比.clk本地晶振在经I9分频模块分频后可为PWM操纵电路中I12计数器模块和I11延时模块提供内部时钟.I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H时,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平.当I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平.当计数器再次溢出时,又重复上述过程.I7为RS触发器,通过它可得到两路相位相反的脉宽调制波,并可实现互锁.I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号.CS为I10模块的操纵信号,用于操纵电机的正反转.2 电路设计本设计采纳的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具.它采纳简明的设计流程并完整地集成了Leo nardo Spectrum的VHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程.在原理设计方面,本设计采纳自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维适应.其设计出的模块修改方便,不阻碍其它模块,且可重复使用,利用率高.本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论.计数器模块的VHDL程序设计如下:entity counter isport(clk: in std logic;Q : out std logic vector(7 downto 0);cao: out std_logic);end counter;architecture a_counter of counter issignal Qs: std_logic_vector(7 downto 0);signal reset: std_logic;signal caolock: std_logic;beginprocess(clk,reset)beginif(reset=‘1')thenQs<=“00000000”;elsif clk'event and clk=‘1' thenQs<=Qs+‘1';end if;end process;reset<=‘1' when Qs=255 else‘0';caolock<=‘1' when Qs=0 else‘0';Q<=Qs;cao<=reset or caolock;end a_counter;在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不阻碍下降沿,从而确保桥路同侧可不能发生短路.其模块的VHDL程序如下:entity delay isport(clk: in std_logic;input: in std_logic_vector(1 downto 0);output:out std_logic_vector(1 downto 0)end delay;architecture a_delay of delay issignal Q1,Q2,Q3,Q4: std_logic;beginprocess(clk)beginif clk'event and clk=‘1' thenQ3<=Q2;Q2<=Q1;Q1<=input(1);end if;end process;Q4<=not Q3;output(1)<=input(1)and Q3;output(0)<=input(0)and Q4;end a_delay;图3为原理图中的若干信号的波形仿真图.3 终止语采纳可编程逻辑器件和硬件描述语言,同时利用其供应商提供的开发工具可大大缩短数字系统的设计时刻,节约新产品的开发成本,另外,还具有设计灵活,集成度高,可靠性好,抗干能力强等特点.本文设计的PWM操纵电路用于某光测设备的传动装置时,取得了良好的成效.。

基于CPLD的TFT-LCD控制器设计

基于CPLD的TFT-LCD控制器设计

基于CPLD的TFT-LCD控制器设计
黄杰勇
【期刊名称】《现代计算机(普及版)》
【年(卷),期】2013(000)002
【摘要】现阶段TFT-LCD的应用不断加强,为了能够更方便控制TFT-LCD的显示,以AT070TN92液晶屏为例,提出一种基于CPLD的通用TFT-LCD显示控制器设计方案,该方案能准确实现TFT-LCD的控制和驱动功能,具有通用性强、灵活设计等优点,可以不改变上位机的程序下,实现多种分辨率的显示功能.
【总页数】3页(P62-64)
【作者】黄杰勇
【作者单位】电子科技大学中山学院,中山528402
【正文语种】中文
【相关文献】
1.基于CPLD的彩色模拟TFT-LCD驱动板设计 [J], 唐枋;唐建国
2.一种基于Nios Ⅱ/DMA的TFT-LCD控制器IP核设计 [J], 宋跃;程博;雷瑞庭
3.基于SoPC的通用TFT-LCD控制器IP核设计 [J], 马宏锋;党建武;王宏斌
4.基于STM32和CPLD的TFT-LCD显示控制器设计 [J], 尤卫卫;冒建亮;叶桦
5.基于Mini-LVDS技术的TFT-LCD时序控制器的设计 [J], 刘杰;程松华;张永栋;李曙新
因版权原因,仅展示原文概要,查看原文内容请购买。

基于CPLD编解码措施的 断路器触发可靠性设计

基于CPLD编解码措施的  断路器触发可靠性设计

摘要真空断路器是电力系统中压开关领域的主流产品,其操动机构的可靠性已经引起人们的高度重视。

永磁操动机构因体积小、零部件少、结构简单,使操动机构发生故障的概率大大降低。

它的出现为提高断路器的可靠性,进而实现操作控制提供了条件。

本文着重研究了真空断路器的可靠性控制。

首先,分析了真空断路器对操动机构的要求,从永磁操动机构的特性出发,讨论了典型的永磁操动机构的结构和工作原理,为实现控制奠定了理论基础。

其次,在分析理论的基础上,提出了真空断路器硬件控制系统的设计,并详细介绍了利用EPM7064的编解码措施来提高永磁操动机构分合闸操作的可靠性。

最后,文章根据永磁操动机构的工作特点与系统性能要求,综合硬件与软件两个方面,进行了控制系统抗干扰的改进工作,寻求更加稳定、可靠的软硬件实现技术。

本文从硬件系统和软件系统两个方面对永磁操动机构整个控制系统进行了介绍。

硬件部分主要有电源模块、手动控制单元、通信模块、数据处理模块、分合闸线圈驱动模块等五个单元模块;软件部分则将程序分成主模块、CPLD编解码模块、数据处理模块和通信模块四个模块进行模块化的程序设计。

通过实验本文研制的智能控制器可以实现手动控制、PC机远程控制等功能,并总结了在研究和实现控制装置可靠性过程中得到的一些设计经验和设计方法,并对研制的控制软硬件存在的不足进行了分析,本文设计对真空断路器控制具有一定的实用价值。

关键词:断路器;永磁操动机构;分合闸控制;编解码;可靠性AbstractAs a popular component of middle-voltagecircuit-breakers, the reliability of its actuator is very important. As a new kind of actuator of circuit breaker, permanent magnetic actuator (PMA) has many advantages, such as, less parts, higher reliability, no maintenance and so on. It provides hardware basement for enhancing stability of breakers and also can be used to realize intellectual control.Focuses is placed on the reliability of the vacuum circuit breaker control in this paper. First of all the requirements for the the actuator of vacuum circuit breaker were analysised. Typical permanent magnetic actuator of the structure and principle are discussesed, according to the characteristics of permanent magnet actuator,which provided a theoretical foundation for control. Then based on the theory analysis on the vacuum circuit breaker, the hardware control system design, coding and decoding using EPM7064 measures are adopted to improve the permanent magnetic actuator operation reliability.At last, considering the work characteristics and system performance requirements for permanent magnetic actuator, integrated hardware and software aspects, and the control system in anti-interference were improved, thus one way to seek more stable and reliable hardware and software implementation techniques can be achieved.Both hardware and software systems in terms of permanent magnetic actuator of the control system was introduced detailedly in this reserach. The hardware contains power supply modules, manual control unit, communication module, data processing module and sub-closing coil driver module. And the sofeware contains main module, code and decode module of CPLD, data processing module and communication module,The functions of manual control, PC control are presented also. Some design experience and design methods in the research and realization of the reliability of the process control device are summarized, and the control hardware deficiencies were analyzed.The design of this work has some practical value in vacuum circuit-breakers’ control.Key words:circuit breaker;permanent magnetic actuator;intellectual control;code and decode; reliability目录引言 (1)1 绪论 (1)1.1中压断路器的现状 (1)1.1.1传统中压断路器的操动机构 (2)1.1.2真空断路器对操动机构的要求 (2)1.1.3永磁操动机构的发展及其优势 (3)1.2国内外对永磁操动机构的研究现状 (4)1.3本课题的研究内容与意义 (5)1.4本文的主要工作 (5)2 永磁操动机构的原理与分类 (6)2.1永磁操动机构的分类 (6)2.1.1双稳态永磁操动机构 (6)2.1.2单稳态永磁操动机构 (8)2.1.3单稳态与双稳态永磁操动机构的比较 (9)3 永磁操动机构智能控制装置硬件设计 (9)3.1系统设计总体方案 (9)3.2电源设计 (10)3.2.1单片机系统供电电源设计 (10)3.2.2电容器的充电电路 (11)3.3分合闸驱动模块 (12)3.3.1驱动电路原理 (12)3.3.2光电隔离 (14)3.3.3控制电路与主电路的总体原理图 (15)3.4手动控制单元 (15)3.5通讯单元 (16)3.6CPLD编解码单元 (17)3.6.1Altera器件简介与选型 (18)3.6.3CPLD/FPGA的内部结构 (18)3.6.4CPLD核心部分 (21)3.7数据接收单元 (22)4 控制系统软件设计 (23)4.1主程序模块设计 (24)4.2通讯程序模块设计 (24)桂林电子科技大学毕业设计(论文)报告用纸第IV页共页4.3编解码程序设计 (25)4.3.1VHDL的描述风格 (25)4.3.2数据流描述 (26)4.3.3用maxplusⅡ进行CPLD开发的简单流程 (27)4.4本章小结 (28)5 控制系统抗干扰设计及系统调试 (28)5.1控制系统硬件抗干扰设计 (29)5.2控制系统软件抗干扰设计 (29)5.3控制系统调试 (31)5.3.1准备条件 (31)5.3.2CPLD的编解码输出测试 (31)5.3.3永磁操动机构控制系统性能测试 (31)5.4本章小结 .............................................................................................. 错误!未定义书签。

基于CPLD双电源智能转换开关系统设计的开题报告

基于CPLD双电源智能转换开关系统设计的开题报告

基于CPLD双电源智能转换开关系统设计的开题报告一、题目基于CPLD双电源智能转换开关系统设计二、背景随着社会的发展和技术的进步,电子产品在人们的日常生活中扮演了极其重要的角色。

同时,随着智能家居等领域的兴起,较为智能化的电子产品的使用越来越受到人们的关注。

在智能电子产品中,往往需要多种不同的电源进行供电,如:直流电源、交流电源、电池等不同的电源。

同时,为了提高电子产品的安全性和可靠性,电子产品中常常需要采用冗余设计,以确保电子产品在单个电源失效时能够正常工作。

因此,在这种情况下,一个较为智能化、同时支持多个电源、并且能够实现不同电源的智能转换的电子开关系统就是非常必要和重要的。

三、研究内容本次开题的主要研究内容为基于CPLD的双电源智能转换开关系统的设计。

具体研究内容包括:1. 根据电子产品的需求,设计双电源电路,以确保即使一个电源失效,电子产品仍能正常工作。

2. 采用CPLD进行主控,并设计相应的硬件电路,实现多种不同的电源的智能转换,以充分利用多种不同的电源。

3. 开发相应的软件程序,实现对开关系统的控制和调试。

四、研究意义本次设计的基于CPLD的双电源智能转换开关系统的成功开发,具有以下重要意义:1. 为电子产品智能化设计提供了重要支持,使得电子产品的安全性和可靠性更高。

2. 充分利用多种不同的电源,提高了电子产品的使用续航能力和灵活性。

3. 通过开发相应的软件程序,可以非常方便地对开关系统进行控制和调试,提高了电子产品的使用便利性和智能化水平。

五、研究方法本次设计的主要研究方法主要包括以下几个方面:1. 首先,根据电子产品的需求,进行双电源电路设计,以确保即使一个电源失效,电子产品仍能正常工作。

2. 其次,采用CPLD进行主控,并连接相应的硬件电路,实现多种不同的电源的智能转换。

3. 然后,通过编写相应的软件程序,实现对开关系统的控制和调试。

4. 最后,进行系统测试和调试,并对其性能进行评估和优化。

基于CPLD的新型无功补偿投切开关控制器设计

基于CPLD的新型无功补偿投切开关控制器设计

基于CPLD的新型无功补偿投切开关控制器设计摘要:新型高压无功补偿投切开关为真空接触器和晶闸管阀并联结构,其控制器通过检测各种状态信号,快速进行逻辑判断和发出控制命令,实现所接负荷的过零点投入和切除。

本文介绍一种CPLD芯片,通过高速光耦采集接触器的辅助触点信号,设定各种逻辑状态出口,利用光纤传输精确控制晶闸管阀通断,同时控制接触器合分时序,达到过零点投入或切除负荷。

对装置控制器部分的软件和硬件做了说明,硬件部分主要以EPM1270T144C及外围芯片等连接,软件部分用Quartus II 6.1编程。

关键词:CPLD 投切开关EPM1270T144C 光电转换引言目前电力系统中高压无功补偿装置普遍采用真空断路器或真空接触器投切电容器装置,这种传统的投切方式对电力系统、对电容器组以及对投切开关本身都产生较大的暂态冲击电压和电流,危害设备的安全运行。

新型的高压无功补偿设备投切开关采用晶闸管阀组和真空接触器并联结构,投入时序:先使晶闸管阀在电压过零点时导通,将电容器组接入电网,再使真空接触器投入,稳态下晶闸管阀在电流过零时自然关断,电容器组投入完成;切除时序:先使晶闸管阀导通接入系统,然后分断真空接触器,再封锁晶闸管阀触发脉冲,使其在电流过零关断,完成电容器组切除。

这种投切方式能有效降低电容器组投切产生的暂态冲击,提高了系统及设备运行的可靠性。

这种新型开关的投切方式对投切的实时性和准确性的要求较高,控制器不仅能在相对复杂的环境下能可靠工作,并且需要较高的时钟频率和强大的时序控制能力。

CPLD (Complex Programmable Logic Device)复杂可编程逻辑器件,用户可根据各自需要而自行构造逻辑功能的数字集成电路,以及硬件上的并行工作模式,为开发这种高性能的控制器提供了很好的解决方案。

1、控制器设计方案本例高压投切开关的控制器的采用MAXIIEPM1270系列CPLD作为主控芯片,通过检测不同设备在不同时刻的开关量输入状态,经过预先设定的逻辑关系,完成需要达到的功能。

基于CPLD的无刷直流电机控制器设计毕业设计

基于CPLD的无刷直流电机控制器设计毕业设计

Z S T U Zhejiang Sci-Tech University 本科毕业设计Bachelor’S THESIS论文题目:基于CPLD的无刷直流电机驱动器设计浙江理工大学机械与自动控制学院毕业论文诚信声明我谨在此保证:本人所写的毕业论文,凡引用他人的研究成果均已在参考文献或注释中列出。

论文主体均由本人独立完成,没有抄袭、剽窃他人已经发表或未发表的研究成果行为。

如出现以上违反知识产权的情况,本人愿意承担相应的责任。

声明人(签名):年月日无刷直流电机(BLDC)利用电子换相装置代替了有刷直流电机的机械换相装置,使其既具有直流电动机的调速性能,且体积小、重量轻、效率高、无励磁损耗等特点,同时又具有交流电机结构简单、运行可靠、维护方便等优点。

因此在国民经济的各个领域,如医疗机械、仪器仪表、化工、纺织以及家用电器和办公自动化等方面都有广泛的应用。

而在对系统提出响应速度快、精度高、控制板体积小、可靠性高等要求下,采用基于单片机等芯片的控制系统一般难以实现这一要求,而基于DSP等芯片的控制系统一般成本又太高。

但随着无刷直流电机的各种控制方法正日趋成熟,特别是大规模现场可编程门阵列FPGA、CPLD的出现,为实现这些要求提供了可能,本课题便是基于这一背景而提出的。

本文提出了一种基于CPLD的无刷直流电机控制器。

重点分析了控制器的硬件电路组成及其工作原理。

并进一步绘制了控制器的PCB板。

接着针对控制器所肩负的控制任务,提出了完整的控制器算法,并最后用Simulink仿真验证了所提出的控制算法。

关键词:BLDC;CPLD;BLDC控制器;BLDC Simulink 仿真;Brushless DC motor (BLDC) is using electronic commutation device instead of mechanical commutation device of brush DC motor. BLDC’s speed performance has the characteristics of DC motors, and it is also has small volume, light weight, high efficiency and no excitation loss advantages. BLDC also has the advantages of AC motor, such as simple structure, reliable operation, convenient maintenance, etc…Therefore, BLDC had been using in the wide range fields of national economy, such as medical equipment, instrumentation, chemical, textile, household appliances and office automation.The control requirements of high reliability, such as fast response speed, high precision, small size. Based on MCU chip control system is generally difficult to realize this requirement. And, the cost of control system based on DSP chip is generally too high. However with all kinds of Brushless DC motor control method is becoming more and more mature, especially the large-scale field programmable gate array FPGA, CPLD appeared, provides the possibility to realize these requirements. This topic is proposed on the basis of this background.This paper presents a brushless DC motor controller based on CPLD .Focus on the analysis of the hardware controller and its working principle. And I have completed the design of PCB controller. According to the control task the controller had, a controller algorithm was proposed. And finally, using Simulink simulation verified that the proposed control algorithm.Key words: BLDC;BLDC controller;BLDC Simulink simulation;目录摘要Abstract第1章绪论 (1)1.1课题的背景和意义 (1)1.2 国内外研究现状和发展趋势 (1)无刷直流电机简介 (1)无刷直流电机的控制技术 (3)1.2.3无刷直流电机控制技术的发展趋势 (6)1.3本文的研究内容 (7)第2章控制器硬件电路设计 (9)2.1电路的总体结构 (9)2.2各模块解析......................................................................................... 错误!未定义书签。

一种基于PLC控制的无功功率自动补偿器 (2)

一种基于PLC控制的无功功率自动补偿器 (2)

说明书摘要本实用新型公开了一种基于PLC控制的无功功率自动补偿器,包括依次连接的PLC主机、PLC模拟单元和外部检测及保护单元,以及与所述PLC主机连接的外部控制及显示单元。

本实用新型所述基于PLC控制的无功功率自动补偿器,可以克服现有技术中损耗高、功率因数补偿效果差、可靠性低和适用范围小等缺陷,以实现损耗5低、功率因数补偿效果好、可靠性高和适用范围广的优点。

摘要附图权利要求书1.一种基于PLC控制的无功功率自动补偿器,其特征在于,包括依次连接的PLC 主机、PLC模拟单元和外部检测及保护单元,以及与所述PLC主机连接的外部控制及显示单元。

52.根据权利要求1所述的基于PLC控制的无功功率自动补偿器,其特征在于,所述外部检测及保护单元,包括分别与所述PLC模拟单元连接的过压保护模块和检测电路模块。

3.根据权利要求2所述的基于PLC控制的无功功率自动补偿器,其特征在于,所述外部检测及保护单元,还包括与PLC模拟单元连接的额定值调节模块。

104.根据权利要求1-3中任一项所述的基于PLC控制的无功功率自动补偿器,其特征在于,所述外部控制及显示单元,包括分别与PLC主机连接的控制投切接触器、手动/自动指示灯、故障报警器、手动投切模块和实时自动投切模块中的任意多种。

5.根据权利要求4所述的基于PLC控制的无功功率自动补偿器,其特征在于,所述外部控制及显示单元,还包括分别与PLC主机连接的手动模式处理模块、自动模15式处理模块和各接触器状态显示模块中的任意多种。

说明书一种基于PLC控制的无功功率自动补偿器技术领域5本实用新型涉及无功功率补偿技术领域,具体地,涉及一种基于PLC控制的无功功率自动补偿器。

背景技术无功功率的补偿作用主要表现在以下方面:⑴提高供电系统及负载的功率因数,降低设备容量,减少功率损耗;⑵稳定电网电压,提高供电质量。

在长距离输电线中10的合适地点设置动态无功补偿装置还可以改善输电系统的稳定性,提高输电能力。

基于CPLD的馈电开关保护器的设计

基于CPLD的馈电开关保护器的设计

产品与应用基于C PLD的馈电开关保护器的设计刘博1柳明洙1陈世杰1何东升2(1.华南理工大学电力学院,广州510640;2.国家中低压输配电设备质量监督检验中心,广东东莞523325)摘要本文介绍了一种基于C PLD的馈电开关保护器的设计方案。

提出了通过检测相位的方法精确判断系统是否漏电、缺相以及负载不平衡等故障,系统利用C PLD内部丰富的资源和高速处理功能,驱动A/D转换器,实现稳定、可靠、高速的数据采集。

实践表明,该方案大大提高系统的资源利用率,节约成本,缩短开发周期,具有电路设计简单、可靠性高和易移植等特点.关键词:馈电开关;C PL D;相位检测;A/D转换D es i gn of Feeder Sw i t chgear P r ot ec t or B as ed on C P L DL i u B ol Li u M i ngzhul C he n shi j把l H e D ongshenge(1.S out h C hi na U ni ve r si t y of T e chnol og y,C ol l ege O f E l ect ri c P ow e r,G uangz hou510640;2.C hi na N at i onal Q ual i t y Su per v i s i o n and Tes t i ng C ent er f or M i d—l ow V ol t age T r ans m i s s i on and D i s t ri but i onE qui pm ent,D ongguan,G uangdong523325)A bs t r a ct T hi s paper i nt r oduces a des i gn sc hem e of t he f ee der s w i t chgear prot ec t or bas ed o nC P L D,w hi ch can j udge m al f un ct i on i f t he s ys t em has c urr e nt l eakage,o pen-phas e and t he l oadi m bal ance ques t i o ns accur at el y by det e ct i ng pha s ed.The dat a c ol l e c t i on s ys t em can dri ve t he A/Dconv er t er st a bl e and r e l i a bl e by C P LD,w hi ch is ri c h i n i nt e r na l r e sour ce s and has hi gh s peed pr ocess i ngf un ct i o n.T he pra ct i c e show s t ha t t he m et hod can s ave c ost by i m pr ove t he sys t em r e s o ur c e and al s o cans hor t en peri od of devel opm e nt.T he prot ec t or has s i m pl e ci r cui t and eas y t o t r ansf or i l l.K ey w or ds:f eed er sw i t chgea r;C P LD:phas e det ect i on;A/D conv er t er引言目前,我国低压供配电系统中馈电开关保护装置仍采用传统的鉴幅式继电保护或电子保护。

基于dsPIC的无功补偿控制器

基于dsPIC的无功补偿控制器

智能电器及计算机应用低压电器(2007№17)通用低压电器篇胡 苗(1982—),女,硕士研究生,研究方向为现代网络与通信技术。

基于d sP I C 的无功补偿控制器胡 苗, 戴瑜兴(湖南大学电气与信息工程学院,湖南长沙 410082)摘 要:通过分析现行低压无功补偿控制器的不足,提出了一种基于dsP I C 的无功补偿控制器。

该控制器集电网监测与无功补偿于一体,同时完成谐波治理和通信功能。

给出了整体硬件结构,并阐述了采样算法、控制策略和系统的软件流程。

关键词:无功补偿;谐波治理;信号检测;投切;控制策略中图分类号:T M 761+.1∶T P 368.1文献标识码:A 文章编号:100125531(2007)1720017203React i ve Power C o m pen s a ti on Con tr oller Ba sed o n dsP I CHU M iao, DA I Yuxing(College of Electrical and I nf or mation Enginee ring,Hunan University,Changsha 410082,China) Abstr ac t:By ana ly zing the disadvantages of existing reactive po wer co mpens a tion contr oll e rs,a new controll e rbased on dsP I C wa s p r oposed .The controlle r can achi eve the func tions such a s survey the po wer grid,reactive po w 2e r co mpens a tion,har monic contr ol ,re mote co mm unication and s o on .The whole hardware struc ture ,s amp l e alg o 2rith m ,contr ol stra tegy and s oft ware desig n we re gi ven .Key word s:r ea ctive pow er co m pen s a ti on;ha rm on i c co n tr ol ;si gna l de tecti on;s w i tch i ng ;co n tr ol stra tegy戴瑜兴(56—),男,教授,博士,研究方向为数字化系统设计理论及应用。

CPLD在无功补偿控制仪键盘设计中的应用

CPLD在无功补偿控制仪键盘设计中的应用

CPLD在无功补偿控制仪键盘设计中的应用
摘要:本控制仪以单片机80c196kc 为核心,集无功补偿、电度量计量、电能质量监测及通信于一体,能实时显示电网的各项参数,通过键盘可人工设定系统运行的参数。

单片机外围芯片PSD8XX 及复杂可编程逻辑器件(CPLD)的使用不仅使系统的硬件电路简化,而且使系统的性能提高。

本文
将讨论用CPLD 来实现控制仪的键盘系统,给出了硬件电路和软件设计方法。

1 引言
无功补偿装置是用于补偿电网无功功率的不足,提高功率因数,保证供电系统安全运行和节约电能的设备,其核心是控制仪。

本控制仪集无功补偿、电度量计量、电能质量监测及通信于一体,对电网参数进行实时采样与计算并把各项参数显示在LCD 上,还可通过键盘进行系统参数设置,用于改变控制仪的
运行模式等。

控制仪的最小系统由单片机80C196KC 和可编程单片机外围接口芯片
PSD834F2 组成,这样既省去了地址锁存器和译码器等一些小规模芯片,使硬件电路得到了简化,又提高了系统的可靠性。

系统运行所需要的程序、数据和参数均放在PSD834F2 中。

用复杂可编程逻辑器件(CPLD)取代一些数字器件扩展系统的外围电路,进一步提高了系统的性能,还便于调试和维护。

本文以下将具体介绍如何用CPLD 来扩展键盘和显示电路。

2 键盘输入模块的硬件设计
键盘输入主要用于现场电流变比,电容器组数,单组电容器容量,电压上、下限,谐波上限,控制仪地址编号等系统参数设置。

而且与显示模块配合用于查看各相电压、电流,无功功率,有功功率,功率因数等的情况。

设计键盘时,如果采用软件扫描的方式,虽然硬件电路简单,但扫描会耗去。

基于CPLD的开关电容组式跟踪滤波器设计与实现

基于CPLD的开关电容组式跟踪滤波器设计与实现

基于CPLD的开关电容组式跟踪滤波器设计与实现彭永棒;孙奉娄;蓝加平;陈锟【摘要】针对大动态范围高灵敏度短波接收机射频前端信号处理需要,提出并实现了一种基于CPLD的开关电容组式跟踪滤波器与变容二极管电调谐滤波器串联方案,并对该滤波器性能进行了评估.实验结果表明,该滤波器可以工作于1MHz~30 MHz频段,带宽易调,设计简单,且具有稳定的带宽和很高的温度稳定性.实测的滤波器3 dB带宽为300 kHz~700 kHz,Q值为11.8 dB~25 dB,通带增益为2.5~4.5,能很好地满足接收机设计指标.【期刊名称】《微型机与应用》【年(卷),期】2013(032)003【总页数】4页(P19-22)【关键词】CPLD;开关式电容;跟踪滤波;短波【作者】彭永棒;孙奉娄;蓝加平;陈锟【作者单位】中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074;中南民族大学电子信息工程学院,湖北武汉430074【正文语种】中文【中图分类】TN911.72跟踪滤波器[1]指滤波器的中心频率能自动地跟随信号频率变化,从而达到在强噪声干扰中提取有用信号的带通滤波器。

它主要应用于振动监测和控制、扫频或跳频雷达接收机、数字电视接收机、水声信号处理等领域[2-4]。

目前,跟踪滤波器的设计主要有开关电容跟踪滤波器[5-6]、自适应跟踪滤波器[7]和变容二极管电调谐 LC谐振跟踪滤波器[8]等。

开关电容滤波器主要工作于音频范围内,从几赫兹到数百千赫兹。

自适应跟踪滤波算法复杂,多用数字信号处理算法,不适合于模拟信号的跟踪滤波,且信号处理速度慢,不能满足实时性要求。

变容二极管电调谐LC谐振跟踪滤波器工作频率高,速度快,电路由纯硬件搭建,主要缺点是受温度影响很大,中心频率可能出现漂移,因此不宜作窄带滤波,可用于对环境温度要求不高的宽带滤波。

基于CPLD实现的低压供电线路无功补偿调节器

基于CPLD实现的低压供电线路无功补偿调节器

基于CPLD实现的低压供电线路无功补偿调节器
杨文
【期刊名称】《电工技术》
【年(卷),期】2004(000)001
【摘要】介绍了使用AT89C52单片机与EPM7128S-PL84可编程器件来实现低压线路无功补偿调节器的基本原理、硬件组成及相应的软件设计.
【总页数】2页(P10-11)
【作者】杨文
【作者单位】湖南文理学院东院,电气工程系,湖南,常德,415000
【正文语种】中文
【中图分类】TM7
【相关文献】
1.新一代智能型低压无功补偿装置为配网系统带来的变革——基于FST系列智能型积木式低压无功补偿装置的应用及效果分析 [J], 贾成荣
2.HZTSC系列动态无功补偿投切调节器的设计与实现 [J], 安建锋;张良
3.基于DSP+CPLD的新型高压连续无功补偿控制器的研制 [J], 陈乐柱;陈志军;王蓉
4.基于Karrenbauer相模变换的低压供电线路阻抗量测方法 [J], 黄瑞;余敏琪;刘奕玹;夏向阳;周纲;刘谋海
5.基于SoC和CPLD平台的机车无功补偿控制器的开发 [J], 汤坚;郭育华;舒泽亮;郭俊
因版权原因,仅展示原文概要,查看原文内容请购买。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于CPLD的新型无功补偿投切开关控制器设计
摘要:新型高压无功补偿投切开关为真空接触器和晶闸管阀并联结构,其控制器通过检测各种状态信号,快速进行逻辑判断和发出控制命令,实现所接负荷的过零点投入和切除。

本文介绍一种cpld 芯片,通过高速光耦采集接触器的辅助触点信号,设定各种逻辑状态出口,利用光纤传输精确控制晶闸管阀通断,同时控制接触器合分时序,达到过零点投入或切除负荷。

对装置控制器部分的软件和硬件做了说明,硬件部分主要以epm1270t144c及外围芯片等连接,软件部分用quartus ii 6.1编程。

关键词:cpld 投切开关 epm1270t144c 光电转换
引言
目前电力系统中高压无功补偿装置普遍采用真空断路器或真空
接触器投切电容器装置,这种传统的投切方式对电力系统、对电容器组以及对投切开关本身都产生较大的暂态冲击电压和电流,危害设备的安全运行。

新型的高压无功补偿设备投切开关采用晶闸管阀组和真空接触器并联结构,投入时序:先使晶闸管阀在电压过零点时导通,将电容器组接入电网,再使真空接触器投入,稳态下晶闸管阀在电流过零时自然关断,电容器组投入完成;切除时序:先使晶闸管阀导通接入系统,然后分断真空接触器,再封锁晶闸管阀触发脉冲,使其在电流过零关断,完成电容器组切除。

这种投切方式能有效降低电容器组投切产生的暂态冲击,提高了系统及设备运行的可靠性。

这种新型开关的投切方式对投切的实时性和准确性的要求较高,控制器不仅能在相对复杂的环境下能可靠工作,并且需要较高的时钟频率和强大的时序控制能力。

cpld (complex programmable logic device)复杂可编程逻辑器件,用户可根据各自需要而自行构造逻辑功能的数字集成电路,以及硬件上的并行工作模式,为开发这种高性能的控制器提供了很好的解决方案。

1、控制器设计方案
本例高压投切开关的控制器的采用maxiiepm1270系列cpld作为主控芯片,通过检测不同设备在不同时刻的开关量输入状态,经过预先设定的逻辑关系,完成需要达到的功能。

控制器原理框图如下:1.1时钟输入电路
epm1270t144c的提供四个通道的时钟信号输入端,通过外部
50mhz/3.3v的有源晶振产生50mhz的时钟信号直接输入,为提高芯片工作可靠性,本例采用两个50mhz/3.3v晶振提供两路的时钟信号互为备用,防止在一个晶振损坏时另一个能继续提高时钟信号使芯片正常工作,提高装置的可靠性。

1.2过零检测电路
电路主要由滤波电路部分、限幅电路部分、比较电路部分组成,如图所示。

系统二次电压加到a、b输入端,通过后面的电容c1、r1、c2组成π型滤波电路进行滤波;限幅电路由两个二极管,如图d1、d2组成,通过d1和d2的作用,将系统电压的幅值控制在了0.7伏左右,这个电压输入到后面的比较电路处理中进行处理;比
较电路主要由lm393比较器组成,lm393的同相输入端为低电平,如果反相输入端为高电平,则lm393输出为低电平;如果反相输入端为-0.7伏,则输出为高电平,这样就在lm393的输出端形成如图所示的波形;为防止干扰,后级加入光电隔离电路,增加了电路可靠性。

1.3功率转换电路
cpld芯片工作电压为3.3v,光电接收器使用的是5v电源,二者需要进行电平转换;功率电路主要由功率转换芯片lvc4245组成,如图所示。

lvc4245为双向转换芯片,可实现3.3v和5v之间的相互转换,转换速度快、信号失真小、最大输出24ma的灌电流和拉电流。

设置芯片dir引脚电平设置信号传递方向,dir为低电平时信号由b到a传递,dir为高电平时信号由a到b传递。

1.4光电转换部分设计
根据实际工程需求,光纤有效传输距离为20米,光电转换器件选择avago公司hfbr0501系列产品,型号为hfbr-1521(光发送器)/hfbr-2521(光接收器),光纤信号传输速率5mbd。

电路如图所示。

根据光纤技术参数表要求,光纤信号传输距离20米时驱动电流需要50ma,即要求驱动芯片的灌电流达到50ma,电路设计选用了工业级sn75451b驱动芯片,其输出电平时的灌电流最大可达65ma;为了降低光电器件损耗、延长使用寿命,使hfbr-1521第2脚在无信号发送时处于高电平,熄灭器件内部发光二极管;r11电阻值取100ω/1w,保证能长期稳定工作不损坏。

2、控制器程序流程图
本例高压投切开关控制器程序使用quartus ii 6.1集成软件开发平台,使用vhdl硬件描述语言进行编程,通过仿真达到设计需要的逻辑关系。

最终生成目标文件通过下载电缆将代码传送到目标芯片中。

编程设计采用vhdl语言。

vhdl主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多硬件特征的语句外,vhdl的语言形式和描述风格与句法十分类似于一般的计算机高级语言。

一个完整的vhdl语言程序通常含有5个部分:实体(entity)、结构体(arcbatecture)、配置(configuration)、包集合(package)和库(library)。

3、总结
本文介绍的基于cpld的无功补偿投切开关控制器具有响应速度快,可靠性高,利用光纤传输控制实现高低压隔离,有效地解决了高电压等级电容器组投切产生的暂态冲击,延长了开关及电容器使用寿命。

由于良好的性能和明显的经济效益,在高压无功补偿应用具有广阔前景。

参考文献:
[1]廖裕评、陆瑞强 cpld数字电路设计清华大学出版社 2001年10月
[2]刘欲晓、方强 eda技术与vhdl电路开发应用实践电子工业出版社 2009年
[3]辛春艳 vhdl硬件描述语言国防工业出版社 2002年1月。

相关文档
最新文档