VHDL综合设计报告大体样式 - 副本
vhdl课程设计实验报告
湖南科技大学信息与电气工程学院《课程设计报告》题目:电子技术课程设计报告专业:通信工程班级:一班姓名:何家乐学号: 1004040126指导教师:罗朝辉任务书题目《电子技术》课程设计时间安排课程设计时间为10天(2周)。
(1)调研、查资料1天。
(2)总体方案设计2 天。
(3)电路设计2天(画原理图,参数计算)。
(4)实验室完成相应电路的验证。
3天(5)撰写设计说明书 1 天。
(6)验收1 天。
目的:训练学生综合运用学过的电子技术原理的基础知识,独立进行查找资料、选择方案、设计电路、撰写报告,进一步加深对电子电路基本理论的理解,提高运用基本技能的能力,为今后的学习和工作打下坚实的基础。
要求:(1)能正确设计电路,画出线路图,分析电路原理。
(2)按时参加课程设计指导,定期汇报课程设计进展情况。
(3)广泛收集相关技术资料。
(4)独立思考,刻苦钻研,严禁抄袭。
(5)按时完成课程设计任务,认真、正确地书写课程设计报告。
(6)培养实事求是、严谨的工作态度和认真的工作作风。
总体方案实现:(1)明确设计任务,对所要设计的任务进行具体分析,充分了解电路性能、指标内容及要求。
(2)制定设计方案。
(3)迸行具体设计:单元电路的设计;参数计算;器件选择;绘制电路原理图。
(4)撰写课程设计报告(说明书):课程设计报告是对设计全过程的系统总结,也是培养综合科研素质的一个重要环节。
指导教师评语:评分等级:()指导教师签名:课程设计报告1.课题名称:RGB LED Control 原理图及PCB设计2.设计任务及要求⑴任务:完成RGB LED control 的设计,并画出原理图及其PCB设计。
⑵要求:①能正确设计电路,画出线路图,分析电路原理。
②按时参加课程设计指导,定期汇报课程设计进展情况。
③广泛收集相关技术资料。
④独立思考,刻苦钻研,严禁抄袭。
⑤按时完成课程设计任务,认真、正确地书写课程设计报告。
⑥培养实事求是、严谨的工作态度和认真的工作作风。
vhdl课程设计报告
一、设计目的本课程设计的目的是熟练掌握相关软件的使用和操作。
能对VHD1语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。
在成功掌握软件操作基础上,将所数字电路的基础课知识与VHD1语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际:深入了解VHD1语言的作用与价值,对用硬件语言设计一个电路系统开始具备一个较完整的思路与较专业的经验。
对EDA技术有初步的认识,并开始对EDA技术的开发创新有初步的理解。
二、设计内容及操作1、设计循环彩灯控制器1.1设计内容设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。
要求红发光管亮3秒,绿发光管亮2秒,黄发光管亮1秒。
1.2程序设计1IBRARYIEEE;USEIEEE.STD_10GIC_1164.A11;USEIEEE.STD_1OGIC_UNSIGNED.A11;ENTITYcaideng_2ISPORT(e1k:INSTD_1OGIC;red,green,ye11ow:OUTSTD1OGIC);ENDENTITYCaideng_2;ARCHITECTUREexamp1eOFcaideng_2ISSIGNA1dout:STD_1OGIC_VECTOR(2DoWNTO0);SIGNA1m:STD_10GIC_VECT0R(2DOWNTO0);BEGINred<=dout(2);green<=dout(1);ye11ow<=dout(0);PROCESS(e1k)ISBEGINIF(c1k,EVENTANDC1k=T')THENIF(In="110")THENm<="001";E1SEm<=m+1;ENDIF;CASEmISWHEN"001"=〉dout<=〃100〃;WHEN"010"=>dout<=T00";WHEN,/0ir=>dout<="100";WHEN"100"=>dout<="010";WHEN"101"=>dout<="010";WHEN"110"=>dout<="001";WHENOTHERS=>dout<="000";ENDCASE;ENDIF;ENDPROCESS;ENDARCHITECTURE;1.3仿真波形图14波形图分析在仿真时已经设置好开始时间和结束时间,根据以上的波形图可知,当e1k 信号处于高电平(高低电平可以根据自己所设计的情况自己定义),红发光管最先亮灯(高电平表示亮灯),时间为3s,3s之后绿发光管开始亮灯2s,2s结束黄发光管亮1s,以此循环亮灯,直到仿真结束时间。
vhdl课程设计模板
vhdl课程设计模板一、教学目标本课程的教学目标是使学生掌握VHDL(Very High Speed Integrated Circuit Hardware Description Language)的基本语法、编程技巧和设计方法,培养学生进行数字电路设计的实践能力。
具体目标如下:1.知识目标:–理解VHDL的基本概念、语法和规则;–掌握VHDL编程技巧,包括信号声明、实体描述、架构声明、端口映射、过程声明等;–了解数字电路的设计方法和流程,包括逻辑分析、模块划分、代码编写、仿真测试等。
2.技能目标:–能够使用VHDL编写简单的数字电路模块,如加法器、乘法器、计数器等;–能够进行数字电路的仿真测试,分析电路的功能和性能;–能够进行数字电路的硬件实现,使用FPGA或ASIC器件进行电路调试和验证。
3.情感态度价值观目标:–培养学生的创新意识和团队合作精神,鼓励学生进行自主设计和协作开发;–培养学生对电子工程领域的兴趣和热情,提高学生对数字电路设计的认识和理解。
二、教学内容根据教学目标,本课程的教学内容主要包括VHDL基本语法、编程技巧和数字电路设计方法。
教学大纲如下:1.VHDL基本语法:–信号声明和实体描述;–架构声明和端口映射;–过程声明和组合逻辑设计;–循环语句和条件语句;–子程序调用和参数传递。
2.VHDL编程技巧:–编写简单的数字电路模块,如加法器、乘法器、计数器等;–使用仿真工具进行电路仿真测试,分析电路的功能和性能;–使用硬件描述语言进行数字电路的硬件实现,使用FPGA或ASIC器件进行电路调试和验证。
3.数字电路设计方法:–逻辑分析和模块划分;–代码编写和模块集成;–仿真测试和硬件实现;–电路调试和性能优化。
三、教学方法为了达到教学目标,本课程将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法等。
1.讲授法:教师通过讲解VHDL的基本语法、编程技巧和设计方法,引导学生掌握相关知识;2.讨论法:学生分组进行讨论,分享学习心得和设计经验,促进学生之间的交流和合作;3.案例分析法:分析典型的数字电路设计案例,让学生了解实际应用中的设计方法和技巧;4.实验法:学生动手进行数字电路设计,使用仿真工具进行电路仿真测试,提高学生的实践能力。
vhdl设计实验报告
vhdl设计实验报告VHDL设计实验报告引言VHDL(Very High Speed Integrated Circuit Hardware Description Language)是一种硬件描述语言,广泛应用于数字电路设计和验证。
本实验旨在通过设计一个简单的电路来熟悉VHDL语言的基本语法和设计流程。
一、实验背景数字电路是现代电子系统的基础,而VHDL则是描述和设计数字电路的重要工具。
VHDL可以帮助工程师们以一种形式化的语言来描述电路的功能和结构,从而实现电路的模拟和验证。
二、实验目的本实验的目的是通过使用VHDL语言设计一个简单的电路,加深对VHDL语言的理解,并掌握基本的电路设计流程。
三、实验步骤1. 确定电路功能在设计电路之前,首先需要明确电路的功能。
本实验中,我们选择设计一个4位加法器电路。
2. 设计电路结构根据电路功能的要求,设计电路的结构。
在本实验中,我们需要设计一个4位加法器,因此需要使用4个输入端口和一个输出端口。
3. 编写VHDL代码使用VHDL语言编写电路的描述代码。
在代码中,需要定义输入和输出端口的类型和位宽,并实现电路的功能。
4. 进行仿真使用仿真工具对设计的电路进行仿真,以验证电路的功能是否符合预期。
通过输入不同的测试数据,观察输出是否正确。
5. 下载到FPGA开发板将设计好的电路代码下载到FPGA开发板上进行验证。
通过连接输入信号和观察输出信号,验证电路在实际硬件上的运行情况。
四、实验结果与分析经过仿真和实际验证,我们设计的4位加法器电路在功能上符合预期。
输入不同的数据进行加法运算时,输出结果都正确。
五、实验总结通过本次实验,我们深入了解了VHDL语言的基本语法和设计流程。
通过设计一个简单的电路,我们掌握了VHDL的应用方法,并通过仿真和实际验证,加深了对电路设计的理解。
六、实验心得本实验让我对VHDL语言有了更深入的认识。
通过实际操作,我更加熟悉了VHDL的编写和仿真流程。
交通灯VHDL课程设计报告样本
《电子设计自动化( EDA) 技术》课程实训报告题目: 交通信号控制器VHDL设计姓名: * *院系: 应用技术学院专业: 电子信息工程( 仪器仪表)学号: ************:完成时间: 年6 月29 日目录1 课程设计题目、内容与要求…………………………………4页1.1 设计题目…………………………………………………4页1.2 设计内容…………………………………………………4页1.3 具体要求…………………………………………………4页2 系统设计………………………………………………………5页2.1 设计思路…………………………………………………5页2.2 系统原理…………………………………………………5页3 系统实现………………………………………………………5页3.1 VHDL源程序的具体程序和说明………………………5页3.2 交通信号控制器程序中使用到得信号及其对应的管脚…7页4 系统仿真………………………………………………………7页5 硬件验证( 操作) 说明………………………………………7页6 总结…………………………………………………………8页7 参考书目……………………………………………………8页交通灯控制器周晓重庆三峡学院应用技术学院电子信息工程( 仪器仪表) 级重庆万州404000摘要基于VHDL的交通灯控制器设计, 芯片采用ALTERA公司的ACEX1K 系列的EP1K10TC100-3, 使用硬件描述语言VHDL进行描述, 对交通灯进行模块化, 在VHDL编程环境Quartus II下编译经过。
此报告对该设计的思想原理, 详细程序和引脚配置以及波形仿真进行了详细的阐述。
关键词: VHDL 模块化交通灯设计1 课程设计题目、内容与要求1.1 设计题目交通信号控制器VHDL设计1.2 设计内容交通信号控制器的VHDL源程序;交通信号控制器的仿真波形;交通信号控制器的硬件测试结果。
【优质】vhdl设计范例-word范文 (28页)
本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!== 本文为word格式,下载后可方便编辑和修改! ==vhdl设计范例篇一:VHDL语言实例VHDL语言实例例1:设计一七段显示译码器,用它来驱动七段发光管LED显示十六进制数字0到9和字母A到F。
LED显示数码管为共阳极。
LIBRARY ieee; USEieee.std_logic_1164.all;ENTITY HEX2LEDISPORT(HEX :IN std_logic_vector(3 DOWNTO 0);LED : OUT std_logic_vector(6 TO 0));图例1 七段显示译码器实体ENDHEX2LED;ARCHITECTURE HEX2LED_arc OF HEX2LED IS BEGIN -- HEX-TO-SEVEN-SEGMENT DECODER-- SEGMENT ENCODING -- 0 -- ---- -- 5 | |1-- ---- <--6-- 4 | |2 -- ---- -- 3 WITH HEX SELECTLED<= "1111001" when "0001","0100100" when "0010", "0110000" when "0011", "0011001" when "0100", "0010010" when "0101", "0000010" when "0110", "1111000" when "0111","0000000" when "1000", "0010000" when "1001","0001000" when "1010", "0000011" when "1011", "1000110" when "1100", "0100001" when "1101","0000110" when "1110","0001110" when "1111", "1000000" when others; END HEX2LED_arc;例2:设计一个八选一数据选择器1)s是通道选择信号,d0,d1,d2,d3,d4,d5,d6,d7数据输入 out1是数据输出ENTITY sels ISPORT(d0,d1,d2,d3,d4,d5,d6,d7:IN BIT;s :INTEGER RANGE 0 TO 7; out1 :OUT BIT); END sels;图例2(a) 八选一数据选择器实体ARCHITECTURE sels_arc OF sels IS BEGINWITH s SELECTout1 <= d0 WHEN 0,d1 WHEN 1,d2 WHEN 2,d3 WHEN 3, d4 WHEN 4, d5 WHEN 5,d6 WHEN 6,d7 WHEN 7; END sels_arc;2)A,B,C是通道选择信号, I0,I1,I2,I3,I4,I5,I6,I7数据输入 Q是数据输出LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY mux8 ISPORT(I0,I1,I2,I3,I4,I5,I6,I7,A,B,C:IN std_logic;Q :OUT std_logic); END mux8;图例2(b) 八选一数据选择器实体ARCHITECTURE mux8_arc OF mux8 IS SIGNAL sel :INTEGER ; BEGINQ <= I0 AFTER 10 ns WHEN sel= 0 ELSEI1 AFTER 10 ns WHEN sel= 1 ELSEI2 AFTER 10 ns WHEN sel= 2 ELSEI3 AFTER 10 ns WHEN sel= 3 ELSEI4 AFTER 10 ns WHEN sel= 4 ELSEI5 AFTER 10 ns WHEN sel= 5 ELSEI6 AFTER 10 ns WHEN sel= 6 ELSEI7 AFTER 10 ns ;sel <= 0 WHEN A= ‘0’ AND B= ‘0’ AND C= ‘0’ ELSE1 WHEN A= ‘1’ AND B= ‘0’ AND C= ‘0’ ELSE2 WHEN A= ‘0’ AND B= ‘1’ AND C= ‘0’ ELSE3 WHEN A= ‘1’ AND B= ‘1’ AND C= ‘0’ ELSE4 WHEN A= ‘0’ AND B= ‘0’ AND C= ‘1’ ELSE5 WHEN A= ‘1’ AN D B= ‘0’ AND C= ‘1’ ELSE6 WHEN A= ‘0’ AND B= ‘0’ AND C= ‘1’ ELSE7; END mux8_arc;例3:设计一D触发器d是输入端,clk是时钟信号控制端,q是触发器的输出端。
VHDL实验报告
EDA课程设计项目——数字钟学院:机电工程学院专业年级:07级电子科学与技术学号:学生姓名:指导老师:成绩评定:数字钟设计1、课程设计目的1、熟悉和掌握VHDL模块间的组合设计思路了解数字钟的工作原理2、掌握各芯片的逻辑功能及使用方法。
3、了解数字钟的组成及工作原理。
4、熟悉数字钟的设计与制作。
5、掌握常用仪器、仪表的正确方法,学会电路整机指标的测试6、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解。
2、课程设计内容基本要求:1. 设计一个按HH:MM:SS(六位七段LED)显示的24小时制数字钟。
2.通过三个按键能够实现复位和校对时间的功能。
3、设计条件硬件:利用PC设计软件:MAX+PLUS2实验总原理图4、设计思路:过一个数据选择器和一个3-8译码器分别控制数码管的段选和位选实现数码管的动态扫描。
5、设计步骤:设计步骤:1、24进制计数器的vhdl语言编译、仿真程序如下:LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_unsigned.ALL;ENTITY jjsqh ISPORT(rest,clk:IN std_logic;co:OUT std_logic;qh,ql:BUFFER std_logic_vector(3 DOWNTO 0));END jjsqh;ARCHITECTURE one OF jjsqh ISBEGINco<='1'WHEN(ql="1001"AND qh="0101")ELSE'0';PROCESS(clk,rest)BEGINIF(rest='0')THENql<="0000";qh<="0000";ELSIF(clk'EVENT AND clk='1')THEN IF(ql =3)THEN ql<="0000";IF(qh =2)THEN qh<="0000";ELSE qh<=qh+1;END IF;ELSE ql<=ql+1;END IF;END IF;END PROCESS;END one;2、60进制计数器的vhdl语言编译、仿真程序如下:LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_unsigned.ALL;ENTITY jsqm ISPORT(rest,clk:IN std_logic;co:OUT std_logic;qh,ql:BUFFER std_logic_vector(3 DOWNTO 0));END jsqm;ARCHITECTURE one OF jsqm ISBEGINco<='1'WHEN(ql="1001"AND qh="0101")ELSE'0';PROCESS(clk,rest)BEGINIF(rest='0')THENql<="0000";qh<="0000";ELSIF(clk'EVENT AND clk='1')THENIF(ql =9)THEN ql<="0000";IF(qh =5)THEN qh<="0000";ELSE qh<=qh+1;END IF;ELSE ql<=ql+1;END IF;END IF;END PROCESS;END one;3、6选1数据选择器(控制LED的段选和位选)的vhdl语言编译、仿真程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity ledchoose isport(a,b,c,d,e,f: in std_logic_vector(3 downto 0);s: out std_logic_vector(2 downto 0);z: out std_logic_vector(3 downto 0);cp:in std_logic);end ledchoose;architecture behave of ledchoose isbeginprocess(cp)variable sn :std_logic_vector(2 downto 0);beginif cp'event and cp='1' then if sn="101"thensn:="000";elsesn:=sn+1;end if;case sn iswhen "000"=>z<=a;when "001"=>z<=b;when "010"=>z<=c;when "011"=>z<=d;when "100"=>z<=e;when "101"=>z<=f;when others=>null;end case;end if;s<=sn;end process;end behave;4、3-8译码器的vhdl语言编译、仿真程序如下:library ieee;use ieee.std_logic_1164.all;entity decode isport(iny:in std_logic_vector(2 downto 0);outy:out std_logic_vector(7 downto 0));end decode;architecture behave of decode isbeginprocess(iny)begincase iny iswhen "000"=>outy<="11111110";when "001"=>outy<="11111101";when "010"=>outy<="11111011";when "011"=>outy<="11110111";when "100"=>outy<="11101111";when "101"=>outy<="11011111";when "110"=>outy<="10111111";when "111"=>outy<="01111111";when others=>null;end case;end process;end behave;5、整点报时模块的编译、仿真Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;Entity alarm isPort( a,b,c,d:in std_logic_vector(3 downto 0);q:out std_logic);End alarm;Architecture a of alarm isBeginprocess(a,b,c,d)beginif (a=0 and b=0 and c=0 and d=0) then q<='1' ;else q<='0';end if ;end process;end a;6、LED数码管显示模块的编译、仿真程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY led ISPORT( inp:IN STD_LOGIC_VECTOR(3 DOWNTO 0);outp:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END led;ARCHITECTURE be OF led ISBEGINprocess(inp)BEGINCASE inp isWHEN"0000"=>outp<="1111110";WHEN"0001"=>outp<="0110000";WHEN"0010"=>outp<="1101101";WHEN"0011"=>outp<="1111001";WHEN"0100"=>outp<="0110011";WHEN"0101"=>outp<="1011011";WHEN"0110"=>outp<="1011111";WHEN"0111"=>outp<="1110000";WHEN"1000"=>outp<="1111111";WHEN"1001"=>outp<="1111011";WHEN others=>outp<="0000000";end CASE;END process;END be;7、校时电路:校时、校分由两个或门组成,闲置是,按键开关一端与Vcc连接,当按下时,另一端与或门输入和下拉电阻连接,实现校时功能8、将所有模块合起来就是一个完整的动态扫描时钟之所以这样做是因为从流程图我们可以清晰的看到时钟的各部分组成,能够轻易的对其进行功能模块的拆分,好进行各个模块的vhdl语言的编译、仿真,从而使编译简化,不至于那么复杂,更易于编写vhdl语言程序,而且要是出现在错误的话可以直接到各个模块去找错误,更容易修改和解决错误问题。
VHDL课程设计报告
课程设计课程名称:交通灯设计.一、课程设计内容1.学习ALTERA公司的FPGA/CPLD的结构、特点和性能。
2.学习集成开发软件MAX+plus II/Quartus II的使用及设计过程。
3.熟悉EDA工具设计数字电路设计方法,掌握VHDL硬件描述语言设计方法。
4.根据给定题目设计数字电路,来加深对可编程逻辑器件的理解和掌握。
二、课程设计应完成的工作1.在所选择器件内完成交通灯控制器的设计,要求设计完成后芯片具有交通灯控制器的全部功能、包括显示和操作接口。
2.交通灯控制器要求控制十字路口两道路的交通灯,两道路交替通行,每次通行时间可设定20——60秒之间,每个路口要求有前行、禁止、人行灯。
(根据实际设计进度考虑可以增加左右转向灯,等待和通行时间显示等)。
3.撰写设计说明书一份(不少于2000字),阐述系统的工作原理,软、硬件设计方法,重点阐述软件思路。
说明书应包括封面、任务书、目录、摘要、正文、参考文献(资料)等内容,以及硬件电路综合图和软件程序清单等材料。
注:设计说明书题目字体用小三,黑体,正文字体用五号字,宋体,小标题用四号及小四,宋体,并用A4纸打印。
三、课程设计进程安排四、设计资料及参考文献1.康华光主编,《电子技术基础-数字部分》,高等教育出版社,1998。
2.谭会生等主编,《EDA技术及应用》,西安电子科技大学出版社,2001 3.潘松等主编,《EDA技术实用教程》,科学出版社,20064.雷伏容主编,《VHDL电路设计》,清华大学出版社,2006 5.Charles H.Roth等著,《数字系统设计与VHDL》,电子工业出版社,2008五、成绩评定综合以下因素:(1) 说明书及设计图纸的质量(占50%)。
(2) 独立工作能力及设计过程的表现(占30%)。
(3) 回答问题的情况(占20%)。
说明书和图纸部分评分分值分布如下:1、任务分析与设计思路(10分)要求说明设计任务的具体技术指标打算如何实现,根据实现各技术指标的解决方法,提出总体设计的思路和解决方案,说明其中关键问题及其解决办法。
VHDL课程设计报告
VHDL课程设计报告一、题目要求(1)、EDA实验板组装调试参照提供的EDA实验板电路原理图、PCB图以及元器件清单进行电路板的组装,组装过程中要求能读懂电路原理图,了解各部分电路工作的原理。
电路板组装完成后,编写以下三个小程序进行电路板测试:1、流水灯程序编写一个流水灯程序,使实验板上DS2—DS13十二个LED依次循环点亮。
2、数码管动态扫描程序了解BCD—七段锁存译码器CD4511的工作原理及数码管动态扫描技术,编写一个程序,使EDA实验板上的8位数码管由“0000 0000”按一定的频率自加一直到“9999 9999”,然后归零不断循环以上过程。
3、矩阵键盘扫描程序了解矩阵键盘扫描原理,编写一程序,当按下实验板上十六个按键任一键,数码管上显示相应键值1—16。
以上测试程序先经软件仿真通过后下载到实验板上进行测试,观察实验结果,若与预期设计不符则应对软、硬件进行细心检查,排除故障。
完成以上电路板组装且调试通过后可进行第二部分红外遥控系统的设计。
(2)、红外遥控系统的设计红外遥控系统由发射编码和接收解码两个部分组成,本课程设计要求制作发射编码电路板(遥控器)以及编写程序在EDA实验板上实现接收解码,具体说明如下:1、发射编码部分发射编码部分要求使用指定的元器件在万用板上完成红外遥控器的制作,该部分电路原理图参照《PT2248数据手册》,制作前请详细阅读《红外遥控器制作说明》,制作时要求元器件在万用板上排列整齐,布局合理,焊接良好,各按键功能正常,均能发送编码。
2、接收解码部分接收解码用VHDL语言编写程序,在EDA实验板上实现解码,要求具有以下功能:(1)基本要求:(a)将一体化红外接收解调器的输出信号解码(12个单击键、6个连续键,单击键编号为7-18,连续键编码为1-6),在EDA实验板上用七段数码管显示出来;(b)当按下遥控器1—6号连续键时,在EDA实验板上用发光二极管点亮作为连续键按下的指示,要求遥控器上连续键接下时指示灯点亮,直到松开按键时才熄灭,用于区别单击键。
10 VHDL综合设计实验(大作业)
综合设计:基于VHDL的线路编解码实现数字光纤通信系统对线路码型的要求主要是保证传输的透明性,如下图,在电调制光源之前,通常需要对解码或扰码后的二进制码进行线路编码,减小功率谱中的高低频分量,为光接收机提供足够的定时信息,保证定时信息丰富,或能提供一定的冗余码,用于平衡码流、误码监测和公务通信。
而接收端进行光电转换后,提取位时钟信号进行判决得到线路编码信号,还需要进行解码还原出原始数字信号。
图1-1 光发送机的线路编码电路FPGA在通信领域应用非常广泛,即将成为硬件设计的主流技术,编解码系统是其最基本的应用之一。
希望同学们能珍惜这次历练的机会,独立自主完成该作业,提升自己FPGA分析问题、解决问题的能力,为就业和将来的深造打好基础!一、线路编解码的相关知识mBnB、mB1C、mB1P、mB1H等都是常用的光线路编码,下面分别对其原理和实现方法进行介绍:1)、mBnB线路编解码及其实现方法mBnB码是把输入的二进制原始码流进行分组,每组有m个二进制码,记为mB,称为一个码字,然后把一个码字变换为n个二进制码,记为nB,并在同一个时隙内输出。
这种码型是把mB变换为nB,所以称为mBnB码。
其中,m和n都是正整数,n>m,一般选取n=m+1。
mBnB码有1B2B、3B4B、5B6B、 8B9B等等。
最简单的mBnB码是1B2B码,即曼彻斯特码,这就是把原码的“0”变换为“01”,把“1”变换为“10”。
因此最大的连“0”和连“1”的数目不会超过两个,例如1001和0110。
但是在相同时隙内,传输1比特变为传输2比特,码速提高了1倍(以太网中应用)。
设计者应根据最佳线路码特性的原则来选择码表。
作为普遍规则,引入“码字数字和”(WDS)来描述码字的均匀性,并以WDS 的最佳选择来保证线路码的传输特性。
所谓“码字数字和”,是在nB码的码字中,用“-1”代表“0”码,用“+1”代表“1”码,整个码字的代数和即为WDS。
VHDL软件设计报告样本
南京邮电大学课程设计报告设计类别: EDA-VHDL专业名称: 电子信息工程班级学号: B08021717学生姓名: 付祥旭基本题: 数字时钟设计综合题: 数码管学号动态显示同小组成员:学号:姓名: 曾大千指导教师: 王奇、梅中辉、周晓燕、孔凡坤日期: 9月1日—9月21日第一章软件设计介绍一、各类设计环节的性质、目的与任务本课程设计是一门重要的专业基础实践课, 是《现代电子技术》或《EDA技术》等课程的后续实践课程, 未选前述课程的要求学生具备数字电路和C语言的基础。
本课程设计的目的和任务: 1.使学生全面了解如何应用该硬件描述语言进行高速集成电路设计; 2.经过软件设计环节与仿真环节使学生熟悉Quartus II设计与仿真环境; 3. 经过对基本题、综合题的设计实践, 使学生掌握硬件系统设计方法( 自底向上或自顶向下) , 熟悉VHDL语言三种设计风格, 熟悉其芯片硬件实现的过程。
二、实验内容软件设计课题共分基本课题、综合课题两档。
基本课题2题, 12个学时完成; 综合课题共4题, 20个学时完成。
四、考核办法学生软件设计成绩考核来源于以下方面:考勤及工作态度( 占10%)软件设计报告( 占40%)验收情况( 占50%)五、主要设备微型计算EDA-VHDL开发软件( QUARTUS2)ALtera CPLD硬件实验开发系统第二章软件开发平台简介1 Quartus II简介Quartus II 提供了完整的多平台设计环境, 能满足各种特定设计的需要, 也是单芯片可编程系统( SOPC) 设计的综合性环境和SOPC开发的基本设计工具。
Quartus II 设计工具完全支持VHDL、Verilog的设计流程, 其内部嵌有VHDL、Verilog逻辑综合器。
Quartus II 具备仿真功能, 同时也支持第三方的仿真工具, 如Modelsim。
Quartus II 包括模块化的编译器。
编译器包括的功能模块有分析/综合器( Analysis & Synthesis) 、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interface)等。
VHDL课程设计报告
VHDL课程设计报告湖南科技⼤学信息与电⽓⼯程学院课程设计任务书20 —20 学年第学期专业:学号:姓名:课程设计名称:设计题⽬:完成期限:⾃年⽉⽇⾄年⽉⽇共周设计依据、要求及主要内容(可另加附页):指导教师(签字):批准⽇期:年⽉⽇⽬录⼀、摘要⼆、VHDL语⾔介绍三、设计的⽬的四、设计内容五、电路⼯作原理六、主要程序及仿真结果七、对本次设计的体会和建议⼋、参考⽂献⼀、摘要⼈类社会已进⼊到⾼度发达的信息化社会。
信息化社会的发展离不开电⼦信息产品开发技术、产品品质的提⾼和进步。
电⼦信息产品随着科学技术的进步,其电⼦器件和设计⽅法更新换代的速度⽇新⽉异。
实现这种进步的主要原因就是电⼦设计技术和电⼦制造技术的发展,其核⼼就是电⼦设计⾃动化(EDA,Electronics Design Automation)技术,EDA技术的发展和推⼴应⽤⼜极⼤地推动了电⼦信息产业的发展。
为保证电⼦系统设计的速度和质量,适应“第⼀时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的⼀项先进技术和重要⼯具。
⽬前,在国内电⼦技术教学和产业界的技术推⼴中已形成“EDA热”,完全可以说,掌握EDA技术是电⼦信息类专业学⽣、⼯程技术⼈员所必备的基本能⼒和技能。
EDA技术在电⼦系统设计领域越来越普及,本设计主要利⽤VHDL语⾔设计⼀个电⼦数字钟,它的计时周期为24⼩时,显⽰满刻度为24时59分59秒。
总的程序由⼏个各具不同功能的单元模块程序拼接⽽成,其中包括分频程序模块、时分秒计数和设置程序模块、⽐较器程序模块、三输⼊数据选择器程序模块、译码显⽰程序模块和拼接程序模块。
并且使⽤软件进⾏电路波形仿真。
关键词:数字钟EDA VHDL语⾔⼆、VHDL语⾔介绍1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语⾔。
⾃IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了⾃⼰的VHDL 设计环境,或宣布⾃⼰的设计⼯具可以和VHDL接⼝。
(最新版)vhdl实验报告
福建农林大学计算机与信息学院信息工程类实验报告2013年11 月13 日实验项目列表福建农林大学计算机与信息学院信息工程类实验报告系:电子信息工程系专业:电子信息工程年级: 2010级姓名:学号:实验课程: VHDL数字系统设计实验室号:__ 田C407 实验设备号: 07 实验时间: 11.12 指导教师签字:成绩:实验一数控分频器的设计1.实验目的和要求学习数控分频器的设计、分析和测试方法。
2.实验原理信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例1所示。
数控分频器的仿真波形如图1所示:输入不同的CLK频率和预置值D,给出如图1的时序波形。
100.0μs200.0μs300.0μs400.0μs图1 当给出不同输入值D时,FOUT输出不同频率(CLK周期=50ns)3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个示波器实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤(1)创建工程,并命名位test。
(2)打开QuartusII,建立VHDL文件,并输入设计程序。
保存为DVF.(3)选择目标器件。
Acex1k—EP1K100QC208-3。
(4)启动编译。
(5)建立仿真波形图。
(6)仿真测试和波形分析。
(7)引脚锁定编译。
(8)编程下载。
(9)硬件测试5.实验内容及实验数据记录在实验系统上硬件验证例5-20的功能。
可选实验电路模式1(第一章图4);键2/键1负责输入8位预置数D(PIO7-PIO0);CLK由clock0输入,频率选65536Hz 或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER)。
编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。
VHDL课程设计报告_硬件描述语言课程设计
各专业全套优秀毕业设计图纸湖南科技大学信息与电气工程学院《课程设计报告》题目:硬件描述语言课程设计专业:电子信息工程班级:三班姓名:学号: 1204030xx指导教师:2015 年 1月 12 日信息与电气工程学院课程设计任务书2014—2015 学年第 1 学期专业:学号:姓名:课程设计名称:设计题目:完成期限:自年月日至年月日共周设计依据、要求及主要内容(可另加附页):指导教师(签字):批准日期:年月日摘要在目前,可编程逻辑器件、单片机、DSP已经成为数字系统的硬件基础,而从事数字系统的设计必须掌握可编程逻辑器件的设计方法,而VHDL语言是一种标准的数字系统硬件电路设计语言,为所有可编程逻辑器件厂商所支持,已成为电路设计人员和电子设计工程师必须掌握的工具。
VHDL语言是培养信息类专门人才的一门必修的专业基础课程。
通过本次课程设计,使我们能够学习和掌握现代电子系统设计的新技术、新器件,掌握硬件描述语言VHDL的编程技术和硬件描述方法,能够对设计系统进行规范描述掌握相关软件的使用,操作。
能对VHDL 语言程序进行编译,调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。
本次课程设计设计主要使用了VHDL语言,采用的开发软件是MAXPLUS II,设计一个多功能数字钟。
在MAXPLUS II开发平台下进行了编译、仿真、下载,实现了基本记时显示和设置、调整时间、报时和闹钟功能。
(注意,仅供参考,可以根据自己的具体设计来写,多写一点)关键词:EDA;VHDL ;Max+plus II;目录1.设计目的 (1)2.设计内容 (1)3.电路工作原理 (1)3.1循环彩灯控制器 (1)3.2五人多数表决器 (1)4.主要程序和仿真结果 (1)4.1循环彩灯控制器 (1)4.2五人多数表决器 (1)5.心得体会 (1)参考文献 (1)1.设计目的1. 熟练掌握相关软件的使用,操作。
华工VHDL课程设计报告
VHDL综合设计实验报告多功能电子计时器学院电子与信息学院专业电子信息科学类评价等级姓名班级提交日期 2013 年 2 月 27 日数字系统课程设计一、学时与学分课内学时:2周学分:2.0二、教学目的与要求本课程设计是一实践性课程,要求学生用自顶向下的模块设计方法和VHDL语言完成一个小型数字系统的设计,并可用可编程逻辑器件实现。
三、教学安排1. 方案审核要求2~3个学生自拟一个数字系统设计课题,其难度不得低于一个具有校时、整点报时和闹时功能的数字钟。
不允许抄袭他人的课题与方案。
设计方案应包括:设计要求、详细框图、控制器流程图。
学生在规定时间内将设计方案与指导教师讨论,通过后方可进入下一阶段学习(方案讨论时间为15周3的课堂内)。
2. 设计与实现在微机和进阶实验板平台上完成,通过逻辑模拟和实验板平台功能验证。
(因实验室板子有限,请同学们尽量利用课余时间到实验室调试)3. 验收在进阶实验板上下载,由教师验收平分。
4. 完成一份设计报告四、评分标准1.比例:作品80%报告20%2. 从创新、思想、难度、设计成果的可靠性、合理性等方面综合评价。
王前2012年10月设计要求1. 本计时器存在电子时钟、定时器和秒表三种功能,并可以通过开关的选择,进行不同功能的切换。
2. 电子时钟包括:24时制的时间显示、日期显示、星期显示,并具有调时、整点报时和闹钟功能。
3. 定时器可以进行 0~9999秒的定时,中途可以暂停,继续和停止,定时结束会自动提醒。
4. 秒表要求最大精度为0.01s ,并且有暂停、继续、重置按钮进度安排和任务分工1. 任务分工确定方案模块及模块接口确定 任务分工 程序编写 各自仿真实现 整体调试 整体仿真 后期修改调整2. 进度安排按模块分工,以模块难易程度均匀分工,力保成员都能真正参与到设计中来,拒绝打酱油14周确定题目15周做题目报告期末前分工假期编写各自模块返校进去整合以及后期处理3.设计环境Quartus软件,基于EP2C5T144C8芯片的开发板。
2021年VHDL实验报告
VHDL实验报告专用集成电路实验报告 1 1 3 05 0 Z01 1 1 305 024237 刘德文实验一 ___平台软件 ___与认知实验实验内容 1 1 、本实验以三线八线译码器 (LS7 4 13 8) ) 为例, ,在在 X X ili nx IS E 9 9 、2 2 软件平台上完成设计电路得 L VHDL 文本输入、语法检查、编译、仿真、管脚分配与编程下载等操作。
下载芯片选择 X X ix linx 公司得 C C oolR R u nne r I II 系列XC 2C25 6- - 7P Q28 08 作为目标仿真芯片。
2 2、、用1中所设计得得三线八线译码器 (LS74138) 生成一个 LS74 13 3 8元件, , 在X il in n xISE 9 。
2 2 软件原理图设计平台上完成 LS74 18 38 元件得调用, , 用原理图得方法设计三线八线译码器 (L S7 413 8 ), 实现编译, , 仿真, , 管脚分配与编程下载等操作。
源程序: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE。
STD_LOGIC_ARITH。
ALL; use IEEE、STD_LOGIC_UNSIGNED。
ALL; -- U ___ent the following lines to use thedeclarations that are -—provided for instantiating Xilinx primitive ponents、——library UNISIM; -—use UNISIM。
VComponents。
all; entity ls74138 is Port ( g1 : in std_logic; g2 : in std_logic; inp : in std_logic_vector(2 do wnto0); y : out std_logic_vector(7 downto 0));end ls74138; architecture Behavioral of ls74138 is beginprocess(g1,g2,inp) begin if((g1 andg2)=’1’) then case inp is when "000"=>y〈=”00000001"; ;"01000000"=〈y〉="100” nehw? when "010"=>y〈="00000100"; ? when "011”=〉y〈="00001000"; ? when ”100”=>y〈="00010000”; ;"00000100”=生成元器件及连接电路思考: : 有程序可以瞧出, 定义了三个输入端, 一个输出端。
数电VHDL实验报告参考模板
2009级数字电路实验报告实验名称:EDA基础实验学生姓名:桂柯易班级:2009211120班内序号:07学号:09210580日期:2011年4月28日1.实验要求【实验目的】1.熟悉用QuartusII原理图输入法进行电路设计和仿真;2.掌握QuartusII图形模块单元的生成与调用;3.熟悉用VHDL语言设计组合逻辑电路和时序电路的方法;4.熟悉用QuartusII文本输入法和图形输入法进行电路设计;5.熟悉不同的编码及其之间的转换;6.掌握触发器的逻辑功能及使用方法;7.熟悉计数器、寄存器、锁存器、分频器、移位寄存器的设计方法8.掌握VHDL语言的语法规范,掌握时序电路描述方法;9.掌握多个数码管动态扫描显示的原理及设计方法。
【实验所用仪器及元器件】1.计算机2.直流稳压电源3.数字系统与逻辑设计实验开发板【实验内容】1.用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。
2.用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
3.用3线-8线译码器(74LS138)和逻辑门设计实现函数F,仿真验证其功能,并下载到实验板测试。
要求用拨码开关设定输入信号,发光二极管显示输出信号。
4.用VHDL语言设计实现一个3位二进制数值比较器,仿真验证其功能,并下载到实验板测试。
要求用拨码开关设定输入信号,发光二极管显示输出信号。
5.用VHDL语言设计实现一个4选1的数据选择器;一个8421码转换为格雷码的代码转换器;一个举重比赛裁判器;一个带同步置位和同步复位功能的D触发器;一个带异步复位的4位二进制减计数器;一个带异步复位的8421码十进制计数器;一个带异步复位的4位自启动环形计数器;一个带控制端的8位二进制寄存器,当控制端为‘1’时,电路正常工作,否则输出为高阻态;一个分频系数为12,分频输出信号占空比为50%的分频器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字逻辑课程实验报告
实验名称交通灯控制器
实验人姓名梁立建
学号410109030121 班级试点1班
同组人姓名
实验时间2012-5-12
成绩
石家庄经济学院信工学院
一、实验内容
实验题目:交通控制器
实验要求:设计一个交通控制器,用LED灯显示交通状态,并一数码管显示当前状态剩余秒数。
a.主感到绿灯亮时,支干道红灯亮;反之亦然,二者交替允许通行,主干道每次放行Xs,支干道每次放行Ys,每次由绿灯变为红灯过程中,亮光的黄灯作为过渡,黄灯时间为Zs。
b.能实现特殊功能显示,进入特殊状态时,两方向路口均显示红灯。
可根据需要调整X、Y、Z的具体数值。
二、实验原理
1.实现方法:
总体设计结构框图如图2所示,共有10个功能模块,包括交通灯的控制模块、分频模块、计数器模块、键盘扫描模块、数字合成模块、三个分位模块、数码管显示模块、动态显示扫描模块。
2.原理图:
Keyclk kbo Reset
Keyin keyout
键盘模块clk
Keyvalue countnum
Getnum
数字合成模块
Clock
Reset
Keyin countnum
Hold
计数器模块
numa
reda
grenna
yellowa
flash (东西方向) Clock numb Reset redb Hould grennb Getin yellowb Coutnum flash
(南北方向)Numin numa
Numb
分位模块
Numin numa
Numb
分位模块
Numin numa
Numb
分位模块
Numa a
Numb b
Numc c
Numd d
Nume e
Numf f
译码模块
Clock clock1
Clock2
分频模块
键盘设置显示模块
东西方向显示模块
南北方向显示模块
图2原理图
3.状态转换:
S0:主干道绿灯,支干道红灯;S1:主干道红灯,支干道红灯;S2:主干道黄灯,支干道红灯;S3:主干道红灯,支干道绿灯;S4:主干道红灯,支干道黄灯
4. 状态列表:
表一 交通灯状态转换表
东西(A )方向
红灯 红灯 绿灯 黄灯 40秒
30秒
10秒
南北(B )方向
绿灯 黄灯 红灯
红灯
30秒
10秒 40秒
表二 交通灯状态转换表
BTN LED 备注 1 100100 长时间亮红灯
状态1:100010
持续20秒,转到状态2
CAR=’0’ S0
S1
S2
S3
S4
CAR='1’
c="1001111"
CAR='0'
c="1001111" CAR='1'
c="0101100" c="0110001
c="1001010
"
0 状态2:100001 持续5秒,转到状态3
状态3:010100 持续20秒,转到状态4
状态4:001100 持续5秒,转到状态1
注:LED的六位分别对应东西方向(A方向)的红绿黄和南北方向(B方向)的红绿黄。
‘1’表示亮,‘0’表示灭。
5.各模块功能:
5.1 控制模块
控制模块的作用是根据计数器的计数值控制二极管的亮、灭,以及输出倒计时数值给分位模块。
计数范围为0到49,共50个数值。
记到49后,下一个时钟沿回复到0,开始下一轮计数。
控制模块外部端口如图5.1所示。
图5 .1控制模块外部端口
5.2 分位模块
因为控制输出倒计时数值可能是1位或者2位十进制,所以在七段数码管的译码电路前要加上分位电路(即将其分成两个1位的十进制数,如35分为3和5,7分为0和7)。
分位电路模块的外部端口如图5.2所示,这样可以得到两个路口倒计时时间显示的十位和个位信号。
图5.2分位电路模块外部端口
5.4 计时模块
定时模块实际上起到计数的作用。
这里需要的计数范围是0~49。
计到49后,下一个时钟沿回复到0,开始下一轮的计数。
定时模块的外部端口如图3-4-4.1所示。
如果外部输入的RESET是高电平,那么RESET_OUT就输出低电平无效,如果外部输入的RESET是低电平,该模块加入了防抖电路,如果RESET按键时间少于3秒,那RESET_OUT输出就是低电平即无效,只有RESET按键时长大于等于3秒,才会有RESET_OUT输出高电平。
图5.4 定时模块外部端口
5.5 分频模块
将实验箱上1465Hz,分频为1Hz,和288Hz(用于数码管扫描)。
在时钟模块外部clk和hold相与,当hold有效即低电平时,时钟模块不进行分频,系统的红绿灯状态不变,以便交警疏通车流量,时钟模块外部端口如图3-4-5.1所示。
图5.5分频模块外部端口
三、测试及分析
1.控制模块
控制模块的仿真:首先对最主要的控制模块进行时序仿真,仿真结果如图3.1所示。
开始时reset为高电平“1”,这时,如果输入值为40,那么东西方向亮红灯,且倒计时为10,南北方向亮绿灯,且倒计时是5;如果输入值为15,那么东西方向的倒计时时间为5,且是绿灯亮,南北方向的倒计时为10,且是红灯亮,如果reset为低电平“0”,这时系统复位,四个方向都是红灯,输出为初始值,倒计时从25s开始。
图3.1控制模块仿真图
2 分位电路模块仿真
本节对分位电路模块进行了时序仿真,仿真结果如图5-2所示,输入numin0,numin1为控制模块的倒计时计数器的输出load0,load1,numa0,numb0输出为十位数数值,numa1,numb1输出为个位数数值。
图3-2 分位电路模块的时序仿真波形
3 定时模块的仿真
时钟模块的时序仿真:对外部时钟分频至1hz进行了时序仿真,仿真结果如图5.4所示。
外部时钟clk的频率为1464hz,所产生的输出ena_1hz为1hz。
输出频率作为显示模块和控制模块的输入时钟。
图3.3时钟模块时序仿真波形图
四、总结
通过这次课程设计,我进一步熟练了对Quartu sII软件的操作,进一步加深了自顶向下逐步求精的设计思想,在编写程序的过程中,遇到了很多问题,主要是用键盘设置交通灯的时间,耗费了很多时间,使我发现自己以前学习上存在的不足。
通过与同学探讨和请教老师,终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。
同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。
做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。
最后参照每个模块把输入和输出引脚设定,运用我们所学的VHDL语言进行编程。
总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。