VHDL语言与EDA课程设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南人文科技学院

课程设计报告课程名称: VHDL语言与EDA课程设计

~

设计题目:出租车自动计价器设计

系别:

专业:

班级:

学生姓名:

学号:

起止日期: 2011年6月13日~2011年6月26日

$

指导教师:

教研室主任:

摘要

随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。根据预定的设计要求和设计思路,我们使用VHDL硬件描述语言设计了一个实际的基于Altera FPGA芯片的出租车自动计价器系统,介绍了该系统的电路结构和程序设计。通过在软件中编译和下载测试,得到了仿真波形和关键的设计结果。经过在实验箱上进行硬件测试,证明该出租车计价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。

关键词:出租车自动计价器;VHDL; FPGA ;

目录

设计要求 ................................................. 错误!未定义书签。

1、方案论证与对比 ........................................ 错误!未定义书签。

方案一................................................ 错误!未定义书签。

方案二................................................ 错误!未定义书签。

两种方案的对比........................................ 错误!未定义书签。

2、实验步骤和设计过程 .................................... 错误!未定义书签。

计程模块.............................................. 错误!未定义书签。

等待计时模块.......................................... 错误!未定义书签。

计费模块.............................................. 错误!未定义书签。

3、调试与操作说明 ........................................ 错误!未定义书签。

中的VHDL程序......................................... 错误!未定义书签。

程序的编译与及仿真波形................................ 错误!未定义书签。

程序的下载与功能的测试................................ 错误!未定义书签。

4、课程设计心得体会 ...................................... 错误!未定义书签。

5、元器件及仪器设备明细 .................................. 错误!未定义书签。

6、参考文献 .............................................. 错误!未定义书签。

7、致谢 .................................................. 错误!未定义书签。

出租车自动计价器设计

设计要求

设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为元,行车里程单价1元/公里,等候时间单价元/10分钟,起价3元(3公里起价)。

设计的主要技术指标如下:

1) 计价范围: 0~元计价分辨率:元

2) 计程范围:0~99公里计程分辨率: 1公里

3) 计时范围:0~59分计时分辨率: 1分

1、方案论证与对比

根据本课程设计要求,需要对出租车当行驶公里数和等待时间两种情况对应进行计数计费,同时要求显示出对应行驶公里数、等待时间和出租车费用,我们想到了以下两种方案。

方案一

用分频器将外部时钟分为三路频率的信号,用来计时和计程,同时也供整个系统工作,通过设置使能信号,使系统自动对等待时间计时和对行驶公里数的计数,最后将所得结果分别在数码管上显示出来。

图 1 方案一设计结构图

方案二

各部分主要功能包括:信号输入模块对车轮传感器传送的脉冲信号进行计数,并以高低脉冲模拟出租汽车启动、停止按钮,具有输入信号作用;数据转换模块将计费模块输出的车费和路程转换成十进制数据;译码/动态扫描模块将路程与费用的数值译码后用动态扫描的方式驱动数码管[5];数码管显示模块将公里数和计费金额显示出来。

图2 方案二设计结构图

两种方案的对比

经分析,两种方案都要通过模块组合来实现出租车计价器的功能。方案一模块数量比方案二多一些,但思路简单,各模块程序也相对简单,因此较容易实现,适合我们初学EDA的编程设计。方案二模块较少,但单个模块功能要求较高,程序复杂。所以,我们选择方案一。

2、实验步骤和设计过程

计程模块

计程模块:根据提供的里程脉冲信号fin,计算乘客上车后出租车所行驶的里程数。fin每来一个上升沿,计程模块实现一次计数,里程数就加1。当行驶里程大于3Km时,本模块中en0信号变为1;进行行驶公里都计费。

等待计时模块

等待计时模块:根据分频得到的时钟信号f_1,计算乘客的等待累计时间。计时器的量程为59min,满量程归零。等待时间大于3min时,本模块中en1信号变为1;f_1

相关文档
最新文档