EDA:自动电梯控制
eda课程设计30个题目
1数字频率计1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是0 999999Hz。
3)结果用十进制数显示。
2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。
4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。
2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。
#eda实验箱介绍
前言本实验教材总体结构主要由三部分组成:其中第一部分是教案系统的简单介绍;第二部分是软件的安装及使用;第三部分为实验部分;在实验部分中其实验由易至难可分为基础类实验、应用类实验、模拟类实验和综合类实验。
本系统采用模拟与数字以及单片机相结合的设计思想,利用模块化组合设计,使得该实验系统操作简单,灵活,显示直观。
本实验系统主要是为了让学生通过实验了解并掌握MAX+plus II的逻辑输入方法,编译及仿真原理,PAC Designerr软件的使用,以及器件下载等基本内容;实验由简到难,由分离到综合,从而逐步提高学生实验能力和实验水平。
第一部分教案系统介绍一、配套硬件要求:1、PC机:要求586或以上的微机,内存需64MB以上,硬盘需1G以上。
2、示波器:20M示波器。
3、单片机仿真器。
二、NC-EDA-2000C型实验箱实验箱基本配套:NC-EDA-2000C实验箱一台;电源线一根;PAC、CPLD/FPGA 下载电缆各一根;RS-232电缆一根;实验指导书一本;实验连线30根。
2、系统结构组成:NC-EDA-2000C实验箱组成框图3、实验箱结构特点说明:芯片结构板:实验箱采用Altara公司的EP1K10TC100—3芯片,位于实验箱组成框图的14所示位置,具的低内核电压、低功耗的特点。
芯片内门电路高达1万门,内部使用RAM作电路结构,速度高达几百MHZ,其输出可用管脚已全部开放,位于芯片的四周,用户可以根据自己的要求和芯片本身的功能自己任意定义管脚。
同时为了体现实验箱的可扩展性,在芯片的两边各有一个34脚的IDE插口,可以通过数据排线与其它应用模块相连接,其具体的管脚如下图所示:其下载口位于实验箱组成框图的7所示位置。
EP1K10TC100—3芯片的管脚分见附图1。
显示类模块:实验箱中有液晶显示模块<LCD);8位7段数码管显示输出;;8位发光二极管<LED灯)等几个显示模块。
其功能是为了显示其实验的结果。
YUY-E801型 EDA实验开发系统
YUY-E801型EDA实验开发系统价格:6500元YUY-E801型EDA实验开发系统是一种多功能、高配置、高品质的EDA教学与开发设备.其中多数是闭环实验,形象生动,乐趣无穷,使学生在学中玩,在玩中学,学习效果明显提高。
适用于各层次高等院校的EDA教学、课程设计和毕业设计,也适用于科研所项目开发。
一、产品特点(1)该系统采用“主板(基本实验系统)+适配板(下载板)”的双板式结构,配置灵活,适配板可选配Altera、Lattice、Xilinx等多家国际著名的PLD公司大部分ISP或现场配置的CPLD/FPGA进行编程下载,包括可对不同工作电压CPLD/FPGA的编程,且在编程中无须做任何跳线切换即能自动识别主系统上的芯片,安全可靠,适合学生高密度的实验操作。
(2)该系统提供良好的混合电压(MV)兼容功能,具备了5V、3.3V、2.5V、1.8V不同芯核电压的CPLD/FPGA 器件编程下载和实验开发的全兼容功能。
因此,用户可避免单5V的EDA实验系统过时淘汰之虑。
(3)该系统可编程PLD器件与实验模块的连接全部采用自主连线,在PLD器件有限资源条件下,最大限度的连接上尽可能多的输入输出器件和实验模块。
可完全满足从简单数字电路到复杂数字系统的设计实验。
且PLD资源完全开发,也可借助该开发系统完成相关开发项目。
(4系统配有单片机管理系统和128*64LCD,实时提供相关信息。
用户可根据需要对管理信息修改。
二、实验系统的硬件配置:(1)主板可与多种下载板相适配,基本配置为Altera 1K30,其他下板选配(见下表);(2)6位动态扫描显示电路;(3)6位静态锁存显示电路;(4)12位发光二极管显示电路;(5)8位二档开关及其电平指示电路;(6)8位按键开关电路;(7)2位脉冲开关电路;(8)电子音响模块;(9)16*16 LED点阵显示模块;(10)128*64 LCD的液晶显示模块;(11)A/D 0809转换器模块;(12)D/A 0832转换器模块;(13)时钟信号源:4MHZ、2MHZ、1MHZ、500KHZ、8KHZ、4KHZ、1024HZ、64HZ、8HZ、1HZ;(14)MCS-51单片机总线接口电路;(15)40芯I/O扩展接口;(16)一个5V蜂鸣器;(17)一个四相步进电机;(18)一个4*4小键盘;(19)配有存贮器EPROM27256;(20)一个RS232接口;(21)一个VGA接口;。
第一章_EDA概述
EDA技术的相关网址:
•
• •
EDA技术实用教程
第1章
概
述
1.1 EDA技术及其发展
什么是EDA? Electronic Design Automation
即:电子设计自动化
本质:EDA技术是利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现。
SOPC: SYSTEM ON A PROGAMMABLE CHIP
1.2 EDA技术实现目标
SOPC
USB控制器 嵌入式ROM 通用I/O口 RS232
应用系统
大规模FPGA
嵌入式Bios
固体硬盘
UART FIFO
Flash ROM
内存
嵌入式RAM
立体声输 出接口 图象或语音 采样接口
CAN控制器
2)计算机辅助工程设计CAE阶段 20世纪80年代初,出现了低密度的可编程逻 辑器件:PAL-Programmable Array Logic(可编 程阵列逻辑)和 GAL-Generic Array Logic(通 用阵列逻辑,可重复编程),相应的EDA开发工具主 要解决电路设计没有完成之前的功能检测等问题。 80年代后期,EDA设计工具已经可以进行初级 的设计描述、综合、优化和设计结果验证。
1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系
统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即 IEEE标准的1076-1993版本,(简称93版)。 VHDL主要用于描述数字系统的结构,行为,功能和接 口。除了含有许多具有硬件特征的语句外,VHDL的语言形
式和描述风格与句法十分类似于一般的计算机高级语言。
EDA技术的发展的三个阶段:
3)电子设计自动化( EDA)阶段 20世纪90年代,可编程逻辑器件迅速发展, 出现功能强大的全线EDA工具。具有较强抽象描述 能力的硬件描述语言(VHDL-全名为VHSIC (VeryHigh-Speed Integrated Circuit) Hardware Description Language、Verilog HDL)及高性能 综合工具的使用,使过去单功能电子产品开发转 向系统级电子产品开发(SOC _System On a Chip: 单片系统、或片上系统集成) 开始实现“概念驱动工程”(Concept Driver Engineering, CDE)的梦想。
基于FPGA的电梯控制器系统设计
基于FPGA的电梯控制器系统设计本文首先提出了一种基于有限状态机的电梯控制器算法,然后根据该算法设计了一个三层电梯控制器,该电梯控制器的正确性经过了仿真验证和硬件平台的验证。
本文的电梯控制器设计,结合了深圳信息职业技术学院的实际电梯的运行情况,易于学生理解和接受,对于工学结合的教学改革,是一个非常好的实践项目。
另外,本文提出的电梯控制器算法适合于任意楼层,具有很强的适应性和实用性。
电子设计自动化技术是19世纪末21世纪初新兴的技术,其在数字电路设计和日常的控制系统中已经体现了强大的功能和优势。
随着EDA技术的高速发展,电子系统设计技术和工具发生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多的方便。
HDL(硬件描述语言)是随着可编程逻辑器件(PLD)发展起来的,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。
它通常采用一种自上而下的设计方法,即从系统总体要求出发进行设计。
目前从期刊杂志中看到一些采用FPGA实现电梯控制系统的设计文章,在这些文章中看不到针对任意楼层的控制器算法,而针对任意层数的控制器算法是保证控制器实用性和适用性的关键。
因此,本文尝试采用EDA技术来设计一个N层电梯控制系统,具体思路是:首先给出电梯控制器的算法,然后在硬件平台上实现并验证。
1 电梯控制系统要求电梯控制系统通常包含图1中的功能:电梯升、降、停;电梯门开、关;请求信号显示、楼层显示;超载、故障报警。
其中超载、故障报警需要用到传感器,该控制相对比较简单,因此本文不再展开讨论。
本文着重讨论涉及其他功能的控制器算法。
针对第一教学楼的电梯,其电梯控制器实现了以下功能:(1)电梯内部每层均有相应的STop按钮;电梯外部除顶层外每层都有up按钮,除底层外每层都有down按钮;up按钮被按下表示该层有人要去高层,down按钮被按下表示该层有人要去低层,stop按钮被按下表示该层有人要出电梯。
电梯电气原理图
电梯电气原理图电梯电气原理图是指电梯系统中的电气控制系统的布局和连接方式,它是电梯安装和维护的重要参考依据。
电梯电气原理图通常由电气控制柜、电动机、限速器、门机、驱动器、编码器等组成,通过各种电气元件之间的连接和控制,实现电梯的安全运行和各种功能操作。
首先,电梯电气原理图中的电气控制柜是电梯系统的中枢,它包含了电梯的主要控制元件,如主回路接触器、断路器、继电器、按钮等。
这些元件通过布局和连接,实现了对电梯系统的各种控制,如启动、停止、楼层选择、门的开关等。
其次,电动机是电梯系统的动力来源,它通过电梯电气原理图中的连接方式,实现了对电梯的驱动。
电动机的连接方式和控制逻辑对于电梯的运行速度、平稳性和能耗都有着重要影响,因此在电梯电气原理图中需要详细标注电动机的型号、功率、接线方式等信息。
限速器是电梯系统的安全保护装置,它通过电梯电气原理图中的连接方式,实现了对电梯的速度监测和制动控制。
在电梯电气原理图中,限速器的连接方式和控制逻辑需要清晰标注,以确保电梯在运行过程中能够及时制动并保持安全。
门机是电梯系统中的另一个重要部件,它通过电梯电气原理图中的连接方式,实现了对电梯门的开关和控制。
电梯门的开关速度、闭合力度、反复动作等都需要在电梯电气原理图中得到准确的描述,以确保电梯乘客的安全和舒适。
驱动器和编码器是电梯系统中的辅助设备,它们通过电梯电气原理图中的连接方式,实现了对电梯的运行状态监测和调节。
驱动器和编码器的连接方式和控制逻辑需要在电梯电气原理图中得到清晰的描述,以确保电梯系统的可靠性和稳定性。
总之,电梯电气原理图是电梯系统设计、安装和维护的重要参考依据,它的准确性和清晰性直接关系到电梯的安全性和可靠性。
因此,在编制电梯电气原理图时,需要特别注意各种电气元件之间的连接方式和控制逻辑,力求简洁明了,确保电梯系统的正常运行和安全使用。
基于FPGA电梯控制系统实现
基于FPGA的电梯控制系统的实现摘要:本文通过使用fpga完成对6层的电梯控制系统。
可以使用状态机实现。
要求指示电梯所在楼层位置等其他必要的信号。
通过仿真结果验证其正确性,并在开发板上进行硬件测试。
关键字:信号并置;verilog;状态机;eda;fpga随高层楼宇的增加,电梯越来越多的走进了人们的生活,对人们的生活的影响越来越大。
为了让电梯更好的服务人们,各种电梯新技术不断地发展起来。
电梯控制系统是一个相当复杂的逻辑控制系统.系统要同时对几百个信号进行接收、处理。
由于用户对电梯功能的要求不断提高.其相应控制方式也在不断发生变化。
随着eda 技术的快速发展.基于fpga的微机化控制已广泛应用于电梯电路设计与控制的各个方面。
一、相关概念:eda在通信行业(电信)里的另一个解释是企业数据架构,eda给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。
eda是电子设计自动化(electronic design automation)的缩写,在20世纪60年代中期从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。
fpga采用了逻辑单元阵列lca(logic cell array)这样一个概念,内部包括可配置逻辑模块clb(configurable logic block)、输出输入模块iob(input output block)和内部连线(interconnect)三个部分。
fpga是由存放在片内ram中的程序来设置其工作状态的,因此,工作时需要对片内的ram进行编程。
用户可以根据不同的配置模式,采用不同的编程方式。
加电时,fpga芯片将eprom中数据读入片内编程ram中,配置完成后,fpga进入工作状态。
掉电后,fpga恢复成白片,内部逻辑关系消失,因此,fpga能够反复使用。
vhdl主要用于描述数字系统的结构,行为,功能和接口。
电梯控制系统原理图
电梯控制系统原理图电梯控制系统是电梯运行的核心部件,它能够确保电梯的安全、高效运行。
电梯控制系统原理图是电梯控制系统的重要组成部分,它展示了电梯控制系统的结构和工作原理。
本文将详细介绍电梯控制系统原理图的相关内容,希望能为大家对电梯控制系统有更深入的了解。
电梯控制系统原理图主要包括电梯控制器、电梯驱动系统、电梯传感器等组成部分。
电梯控制器是整个系统的核心,它接收并处理乘客的指令,控制电梯的运行。
电梯驱动系统包括电梯电机和传动装置,它负责提供电梯的动力和运行轨迹。
电梯传感器则用于监测电梯的运行状态,确保电梯的安全运行。
在电梯控制系统原理图中,电梯控制器通常由主控制器和副控制器组成。
主控制器接收乘客的指令,并根据指令确定电梯的运行方向和目标楼层。
副控制器则负责监测电梯的运行状态,一旦发现异常情况,副控制器将立即采取相应的措施,确保电梯的安全。
电梯驱动系统是电梯控制系统的另一个重要组成部分。
电梯电机是电梯驱动系统的核心,它通过提供动力,驱动电梯的运行。
传动装置则负责将电梯电机提供的动力传递给电梯的轿厢,使电梯能够上下运行。
电梯传感器通常包括轿厢位置传感器、门区传感器、限速器等。
轿厢位置传感器用于监测电梯轿厢的位置,确保电梯能够准确停靠在目标楼层。
门区传感器则负责监测电梯的门是否完全关闭,以确保乘客的安全。
限速器是电梯的安全保护装置,一旦电梯的运行速度超出安全范围,限速器将立即采取措施,确保电梯的安全。
总的来说,电梯控制系统原理图展示了电梯控制系统的结构和工作原理。
通过了解电梯控制系统原理图,我们可以更好地理解电梯的运行原理,从而更好地保障电梯的安全、高效运行。
希望本文能够帮助大家对电梯控制系统有更深入的了解。
基于FPGA的电梯控制器设计_毕业设计论文
(3)当电梯处于上升时,只响应比电梯所在位置高的上楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式。
(4)当电梯处于下降时,只响应比电梯所在位置低的下楼请求信号,直到最后一个下楼请求执行完毕,再进入上升模式。
(5)电梯的初始状态为第一楼层。
(6)设计超载报警功能。
设计要求:
(1)根据任务要求确定电路各功能模块;
(2)写出设计代码;
(3)给出时序仿真结果和硬件调试结果;
(4)最后要有设计总结。
二、设计原始资料
QuartusⅡ软件,EDA实验箱。
三、要求的设计成果(课程设计说明书、设计实物、图纸等)
软件仿真和硬件仿真结果;课程设计说明书。
四、进程安排
周1-周4:查阅资料,上机编写并调试代码;
3 电梯控制器设计
3.1 电梯控制器设计总体框图
电梯控制器的功能模块如图所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。
2 EDA技术介绍
2.1 EDA技术简介
EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
电梯使用管理方案
电梯使用管理方案随着高层建筑的不断增多,电梯作为一种便捷的交通运输工具,在日常生活中扮演着越来越重要的角色。
然而,电梯的安全问题也不容忽视。
为了确保电梯的正常运行和使用安全,制定一套科学合理的电梯使用管理方案显得尤为重要。
本文将从使用单位、日常维护保养、安全管理、紧急处理和监督管理五个方面提出电梯使用管理方案,以期为相关单位提供参考。
一、使用单位管理1. 建立电梯使用管理制度,明确电梯使用单位的管理职责和操作规程。
2. 配备专职或兼职的电梯管理人员,负责电梯的日常管理和监督工作。
3. 加强对电梯操作人员的培训,提高其安全意识和操作技能。
4. 定期组织电梯使用单位进行自查,及时发现并处理电梯存在的问题。
二、日常维护保养1. 制定详细的电梯维护保养计划,包括周检、月检、季检和年检等,确保电梯得到全面、细致的维护保养。
2. 按照国家规定的要求,定期对电梯的主要部件进行安全性能检测,确保电梯的安全性能符合标准。
3. 建立电梯维护保养档案,记录电梯的运行状况和维护保养情况,方便查询和管理。
4. 对电梯的日常维护保养工作进行监督和检查,确保维护保养工作的有效性和及时性。
三、安全管理1. 定期对电梯进行安全检查,及时发现并处理存在的安全隐患。
2. 加强对电梯机房、井道、底坑等关键部位的检查和维护,确保其符合安全要求。
3. 对电梯的紧急救援措施进行定期演练,提高应对突发事件的处置能力。
4. 对电梯的消防设施进行定期检查和维护,确保其有效性。
5. 建立电梯安全管理制度,明确各级管理人员和操作人员的安全职责。
四、紧急处理1. 制定电梯故障应急预案,明确应急响应程序、救援人员及联系方式等。
2. 在电梯轿厢内张贴安全须知,指导乘客正确应对紧急情况。
3. 建立24小时值班制度,确保值班人员能够及时发现并处理电梯故障或事故。
4. 定期组织应急演练,提高相关人员的应急处置能力。
5. 与专业救援机构建立合作关系,确保在必要时能够得到及时有效的救援。
plc接增量编码器控制电梯自动平层原理
PLC(可编程逻辑控制器)接增量编码器控制电梯自动平层的原理如下:
1. 安装增量编码器:在电梯的驱动轴上安装一个增量编码器,用于检测电梯运行时的实时位置和速度。
2. PLC 接收编码器信号:将增量编码器的信号传输到 PLC 中,通过编程实现对电梯运行状态进行监测和控制。
3. 编写程序:编写 PLC 程序,对电梯进行控制。
程序中需要实现以下功能:
- 监测当前电梯的位置和速度;
- 判断电梯是否到达目标楼层;
- 控制电梯停止在目标楼层;
- 自动调整电梯的位置和速度,以达到平层的效果。
4. 实现自动平层:当电梯到达目标楼层时,PLC 会根据当前的位置和速度计算电梯还需要移动的距离和时间,并进行调整,以实现平层的效果。
总的来说,PLC 接入增量编码器可以监测电梯的位置和速度,通过编程实现对电梯的控制,从而实现电梯的自动平层。
(完整word版)eda课程设计电梯(3层)
目录1 引言 02 EDA技术介绍 (1)2。
1 EDA概述 (1)2.2 MAX+plus II软件简介 (2)3 电梯控制器设计 (2)3.1设计要求 (2)3.2电梯运行规则 (3)3.3电梯整体设计 (3)3。
4端口设计说明 (3)3。
5具体程序设计说明 (4)3。
5.1 库声明部分 (4)3.5.2 实体部分 (4)3.5.3 结构体部分 (5)4 系统仿真 (8)5 硬件测试 (10)6 结论 (11)参考文献 (12)附录 (13)1 引言当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日益激化.这就注定了必须合理的利用土地去解决人与土地的矛盾。
而兴建高层建筑是其中有效措施之一。
因此能使人们快速便捷地到达目的楼层的电梯就应运而生了。
随着电子技术日薪月异的发展,集成电路从20 世纪60年代的小规模到中规模,再到大规模集成电路,伴随着它的高速发展,EDA技术、CPLD 技术也得到充分发展。
电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过度到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化.对于电梯的控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。
电梯的微机化控制主要有以下几种形式:PLC控制,单片机控制,单板机控制,单微机控制,多微机控制,人工智能控制[1].随着EDA技术的快速发展,CPLD/FPGA[2]已广泛应用于电子设计与控制的各个方面。
本设计就是使用一片CPLD/FPGA来实现对电梯的控制的。
三层电梯广泛应用在大型的货运之中。
其使用便捷,货运周期短,效率高成本低,对货运事业具有相当的经济价值。
电梯作为高层建筑物的重要交通工具与人们的工作日益紧密FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一.电梯控制器是控制电梯按顾客要求自动上下的装置。
eda三层电梯
基于VHDL三层电梯控制器的设计时间:2009-04-02 10:17:46 来源:电子技术作者:陕西理工学院,电信工程系韩团军0 引言电梯控制器是控制电梯按顾客要求自动上下的装置。
本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II 软件仿真,目标器件选用CPLD器件。
通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。
1 三层电梯控制器将实现的功能(1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。
(2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。
(3)电梯每秒升(降)一层楼。
(4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。
(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
(7)电梯初始状态为一层开门状态。
2 设计方案和论证2.1 控制器的设计方案控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。
乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。
分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。
由于分控制器相对简单很多,所以主控制器是核心部分。
2.2 三层电梯控制器的设计思路电梯控制器采用状态机来实现,思路比较清晰。
专业介绍
专业代码: 560403
专业培养目标:培养建筑供配电系统、电气照明系统、电缆电视系统及建筑电气控制系统的施工安装、调试和运行管理、工程监理及中小型工程设计等工作的高级技术应用性人才。
专业核心能力:建筑内电气设备施工安装的专门技术。
专业核心课程与主要实践环节:电工学、电子技术、电机与拖动基础、变流技术、自动控制原理及系统、建筑电气控制、工业与民用供电、电气照明、建筑弱电技术、建筑防灾系统、电气施工技术、施工组织与预算、认识实习、电工工艺实习、电子工艺实习、毕业与生产实习、课程设计、毕业设计等,以及各校的主要特色课程和实践环节。
专业代码:590201
专业培养目标:培养具有电子信息产品的安装、调试、检测、维护能力,具备电子信息技术的开发、设计、推广、应用能力的高级技术应用性专门人才。
专业核心能力:电子信息产品的安装、调试、检测、维护能力,电子信息技术的开发、设计、推广、应用能力。
专业核心课程与主要实践环节:电路分析基础、信号与网络、电子设计自动化(EDA)、电子测量原理、信号处理技术、PLC原理与应用、低频电子线路、电子器件与电子线路、计算机原理与应用、网络基础、电子测量技术实训、EDA实训、电子信息产品的综合实训、电子信息技术应用训练等,以及各校的主要特色课程和实践环节。
可设置的专业方向:企业供用电技术、供配电技术与设备维护、用电监测与管理。
就业面向:电力系统设备的运行、维护与管理,用电监测与管理工作。
------------------------------------------------------
3.电机与电器
专业名称:电机与电器
专业名称:电力系统自动化技术
专业代码:580204
EDA课程设计电梯控制器
初始化:设置电梯初始状态,如楼层、方向等 输入处理:接收用户输入,如楼层、方向等 逻辑判断:根据用户输入和电梯当前状态,判断是否需要改变方向、楼层等 输出处理:根据逻辑判断结果,输出电梯运行状态,如楼层、方向等 结束:电梯到达指定楼层,停止运行,等待下一次输入
编写代码:根据设计要求编写C语 言代码
交流方式:小组讨论、课堂 展示、报告撰写
课程设计成果:电梯控制器 的设计与实现
成果展示:电梯控制器的功 能演示、性能测试
展望未来:电梯控制器的改 进方向、应用前景
智能化:实现电梯的自动化控制,提高运行效率和安全性
节能环保:采用节能技术,降低能耗,减少对环境的影响
物联网技术:实现电梯与物联网的连接,提高管理效率和服务 质量
电缆:选择耐高温、耐腐蚀、抗干扰的 电缆
开关:选择安全、耐用、易操作的开关
电机:选择高效、节能、低噪音的电机
电源:选择稳定、高效、低噪音的电源
设计原则:遵循电气性能、机械性能、热性能等要求 设计工具:使用Altium Designer、Protel等专业软件 设计步骤:绘制原理图、生成PCB文件、布局布线、生成生产文件 制作工艺:采用SMT贴片、回流焊等工艺进行生产
调试代码:使用Keil uVision5进行 调试,解决编译错误
添加标题
添加标题
添加标题
添加标题
编译代码:使用Keil uVision5进行 编译
测试代码:使用Keil uVision5进行 测试,验证功能是否正确
测试方法:单元测试、集成测试、 系统测试
优化目标:提高软件性能、稳定性、 安全性
添加标题
● 功能: a. 运行控制:控制电梯的启动、停止、加速、减速、制动等动作。 b. 安全保护:检测电梯的 运行状态,如超速、过载、门未关等,并采取相应的安全措施。 c. 故障处理:检测电梯的故障,如过 热、短路、断路等,并采取相应的故障处理措施。 d. 信息显示:显示电梯的运行状态、楼层、方向等 信息。 e. 通信接口:与电梯的其他部件进行通信,如电梯门、楼层显示板等。
EDA技术(课程设计题目)
设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
智能电梯控制系统解读含英文说明
电梯控制系统Elevator Door Access Management System一产品简介Product Description梯控门禁管理系统不仅能够彻底解决智能一卡通管理方面的短板,而且凭借其强大的功能、灵活的配置方案、便捷的使用方法以及不会改变电梯原有的控制跟电梯完全隔离的特性,已经广泛被业主用来提升物业档次。
可与多奥停车管理/门禁/考勤/智能通道/消费/在线巡更等智能一卡通。
Elevator Door Access Management System can not only solve the trouble for property management companies,but also by virtue of its powerful function,flexible configuration,easy to use and the characteristic of not changing the original control of elevators ,is widely used byproperty management companies to upgrade the grade of property management.二主要应用领域Main application areas中高档智能小区、写字楼、酒店等In high-end smart quarters,office buildings,hotel…三、产品介绍Product Description控制器控制板Main controller Main control board产品型号:DAIC-DT-EDAMS 产品型号:DAIC-DT-MB Product models Product models规格:350*350*110 规格:170*170Size Size颜色:黑颜色:红ColorColor。
电梯管理员考试技巧
电梯管理员考试技巧电梯管理员考试呀,就像一场特别的挑战,得有点小技巧才能顺利通关。
咱先说说理论知识这一块儿。
电梯的原理就像人的身体构造一样复杂,你得搞清楚那些零件都是干啥的。
比如说曳引机,它就像是电梯的心脏,要是心脏出问题了,电梯可就动不了了。
那怎么去掌握这些知识呢?看书是个好办法,可别把那些专业书当成天书。
你就把它想象成一本武功秘籍,每一页都是在教你怎么成为电梯管理的高手。
看的时候别死记硬背,就像你交朋友,去了解每个知识点的脾气秉性,这样它就会乖乖待在你脑袋里。
安全规范这部分可不能小瞧。
电梯里的安全规范就如同交通规则一样重要。
要是违反了,那后果可不堪设想。
你想想马路上要是没有交通规则,那得多乱啊。
电梯里也是,每一个安全标识、每一项安全操作流程,都是为了避免事故的发生。
像在电梯维修的时候,一定要把警示标识放好,这就好比你在马路上挖坑,得放个牌子告诉大家这里危险一样。
要是忽略了这个,有人不小心掉进维修中的电梯井,那可就是大灾难了。
再讲讲关于应急处理的知识。
这就像消防员灭火一样,得迅速又准确。
要是电梯突然困人了,你得知道怎么办。
不能像热锅上的蚂蚁一样乱了阵脚。
要冷静地通过对讲系统安慰被困人员,告诉他们就像在一个小房间里暂时休息一下,救援马上就到。
然后按照正确的流程去解救他们。
你要是不熟悉这个流程,那被困的人得多害怕呀,就像在黑暗里找不到出口一样无助。
实际操作方面呢,你得像熟悉自己家一样熟悉电梯的操作面板。
每个按钮的功能都要了如指掌。
这就好比你知道家里每个电器开关在哪里一样自然。
而且在操作电梯的时候,要特别细心,就像对待一件珍贵的宝贝。
比如说电梯的平层调整,如果做得不好,电梯门可能就对不齐楼层地面,这多影响大家使用啊。
在准备考试的时候,多做一些练习题就像战士上战场前多练几遍刀法一样重要。
通过做练习题,你能发现自己的薄弱环节。
那些做错的题,可别轻易放过,要像侦探一样去追查原因。
是知识点没掌握,还是粗心大意了?把这些问题解决掉,你就离成功又近了一步。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
全自动电梯控制卢维彪020920181.设计要求设计一个4层楼房全自动电梯控制电路,其功能如下:◆每层楼电梯入口处设有上,下请求开关各1,电梯内设有乘客到达层次的停站要求开关。
◆有电梯所处位置指示装置和电梯上行,下行状态批示装置。
◆电梯每秒升(降)一层楼。
到达某一层楼时,指示该层次的灯发光,并一直保持到电梯到达新一层为止。
◆电梯到达有停站请求的楼层后,该层次的指示灯亮,经过0.5S,电梯门自动打开,开门指示灯亮,开门5S后,电梯门自动关闭(开门指示灯灭),电梯继续运行。
◆能记忆电梯内外的所有请求信号,并按照电梯运行规则次第响应,每个请求信号保留至执行后撤除。
◆电梯运行规则,电梯处于上升模式时,只响应比电梯所在位置高的层次的上楼请求信号,由下而上逐个执行,直到最后一个请示执行完毕。
如更高层次有下楼请求,则直接升到有下楼请求的楼层接客,然后便进入下降模式。
电梯处于下降模式时与之相反,仅响应比电梯所在位置低的楼层的下楼请求。
电梯执行完所有的请求后,应停在最后所在的位置不变,等待新的请求。
◆开机(接通电源)时,电梯应停留在一楼,而各种上,下请求皆被清除。
2.设计提示▲用实验板上提供的按键开关作为上楼(3个)下楼(3个)请求,以及乘客进入电梯后,要求停靠楼层的开关。
按键状态用发光二极管显示。
▲电梯所在楼层位置用数码管显示,另用二只发光二极管显示上行状态和下行状态。
▲利用发光二极管(6只)作为开门指示,其时序如下图所示。
▲电梯开门时间可以要求延长,每按一次延长键,自按键时开始延长5秒,可以连续使用。
也可提前关门(按动关门键)。
▲电梯运行过程中,不断判断前进方向是否存在上楼请求或下楼请求信号,如到达某层后,上、下方均无请求,则电梯停在该层,中止运行。
div2Hz模块(1000Hz—2Hz分频器):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div2Hz isport(clk:in std_logic;clkout:out std_logic);end div2Hz;architecture one of div2Hz issignal count: std_logic_vector(8 downto 0);beginprocessbeginwait until clk'event and clk='1';if count<500 then count<=count+1; clkout<='0';else count<=(others=>'0'); clkout<='1';end if;end process;end architecture one;Elevator模块(控制器):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity elevator isport( clk: in std_logic;up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0));end elevator;architecture bhv of elevator istype state_type is (start,run,opendoor,dopenwait2,dopenwait3,dopenwait4,dopenwait5,dopenwait6,dopenwait7,dopenwait8, dopenwait9,dopenwait10,dclse,up,down,upwait1,upwait2,downwait1,downwait2,stop); signal state : state_type;signal upm,downm,stopm,dat: std_logic_vector(3 downto 0); ---memmory of orders signal dclosem,ddelaym: std_logic;beginstate_trans: process (clk,up1,up2,up3,down4,down3,down2,stop1,stop2,stop3,stop4,upm,downm,stopm,dat,ddelay,dclose) variable position: integer range 0 to 4;beginif rising_edge(clk) thenif up1='1' then upm(0)<='1'; end if;if up2='1' then upm(1)<='1'; end if;if up3='1' then upm(2)<='1'; end if;upm(3)<='0';if down4='1' then downm(3)<='1'; end if;if down3='1' then downm(2)<='1'; end if;if down2='1' then downm(1)<='1'; end if;downm(0)<='0';if stop1='1' then stopm(0)<='1'; end if;if stop2='1' then stopm(1)<='1'; end if;if stop3='1' then stopm(2)<='1'; end if;if stop4='1' then stopm(3)<='1'; end if;if dclose='1' then dclosem<='1'; end if;if ddelay='1' then ddelaym<='1'; end if;dat<= upm or downm or stopm; ---orders from both inside and outside all savedcase state iswhen start =>if dat="0000" then state <= start; ---no order,no operation elsif position= 0 then position:=position+1; state <= run;end if;when run => ---start working if position=1 thenif stopm(0)='1' or upm(0)='1' thenstopm(0)<='0'; upm(0)<='0'; state<= opendoor;elsif dat> "0001" then state<= up;end if;elsif position=2 thenif stopm(1)='1' or upm(1)='1' or downm(1)='1' thenstopm(1)<='0'; upm(1)<='0'; downm(1)<='0'; state<= opendoor;elsif dat> "0011" then state<= up;elsif dat< "0010" then state<= down;end if;elsif position=3 thenif stopm(2)='1' or upm(2)='1' or downm(2)='1' thenstopm(2)<='0'; upm(2)<='0'; downm(2)<='0'; state<= opendoor;elsif dat> "0111" then state<= up;elsif dat< "0100" then state<= down;end if;elsif position=4 thenif stopm(3)='1' or downm(3)='1' thenstopm(3)<='0'; downm(3)<='0'; state<= opendoor;elsif dat< "1000" then state<= down;end if;end if;when up => upled<='1'; state<=upwait1; ---1 second taken to go upwhen upwait1=> state<= upwait2;when upwait2=>upled<='0'; position:=position+1; ---reach a higher floorif position=2 and (upm>"0011" or stopm>"0011") and stopm(1)='0' and upm(1)='0' then state<= up; elsif position=3 and dat>"0111" and stopm(2)='0' and upm(2)='0' then state<= up; else state<= opendoor;end if;when down=> downled<= '1'; state<= downwait1; ---1 second taken to go down when downwait1=> state<= downwait2;when downwait2=>downled<= '0'; position:=position-1; ---reach a lower floorif position=3 and (downm<"0100" or stopm<"0100") and stopm(2)='0' and downm(2)='0' then state<= down; elsif position=2 and dat<"0010" and stopm(1)='0' and downm(1)='0' then state<= down; else state<= opendoor;end if;when opendoor=> ---open door after 0.5 second if position=1 then stopm(0)<='0'; upm(0)<='0';elsif position=2 then stopm(1)<='0'; upm(1)<='0'; downm(1)<='0'; elsif position=3 then stopm(2)<='0'; upm(2)<='0'; downm(2)<='0'; elsif position=4 then stopm(3)<='0'; downm(3)<='0'; end if; ---orders from the opendoor_floor removed dopenled<="001100";if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait2;end if;when dopenwait2=>if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait3;end if;when dopenwait3=> dopenled<="011110"; state<= dopenwait4;when dopenwait4=>if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait5;end if; when dopenwait5=> dopenled<="111111"; state<= dopenwait6; when dopenwait6=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dopenwait7;end if; when dopenwait7=> dopenled<="011110"; state<= dopenwait8; when dopenwait8=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dopenwait9;end if; when dopenwait9=> dopenled<="001100"; state<= dopenwait10; ---display of the opendoor_leds when dopenwait10=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dclse;end if; when dclse=>dopenled<="000000";if dat>"0000" then state<= run;else state<= stop;end if; when stop => if dat>"0000" then state<= run;elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= stop;end if;end case;end if;if position=1 then nowfloor<="0001"; floorled<="0001"; elsif position=2 then nowfloor<="0010"; floorled<="0010"; elsif position=3 then nowfloor<="0011"; floorled<="0100"; elsif position=4 then nowfloor<="0100"; floorled<="1000"; else nowfloor<="0000"; floorled<="0000"; end if; ---display of floor_leds end process state_trans;end bhv;综合实现模块:library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity finalctrol is port( clk: in std_logic; up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0)); end finalctrol; architecture arc of finalctrol is component div2Hz port(clk: in std_logic;clkout: out std_logic);end component;component elevator port( clk: in std_logic; up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0));end component; signal clkwire: std_logic; beginU1: div2Hz port map(clk,clkwire); U2:elevatorportmap(clkwire,up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2, ddelay,dclose,upled,downled,floorled,nowfloor,dopenled);―――元件例化语句end arc;EDA综合结果:时序仿真波形:心得体会:最后是差不多做出来了,但是这个过程花费了我太多时间、精力!如果我把这些时间分一些出来复习电磁场,或许我的电磁场试卷上就不会有那么多空白、、、EDA,quartusii,是好东西,实用的东西!但是跟其他各种考试一起夹击我,还是觉得有点吃不消,压力太大、、、几乎每一步都不曾顺利,都有各种阻碍,需要我一点点地去探测它,再各种途径认识、了解它,最后克服它,整个过程,遇到的问题就太多太多了,说出来不知道可以写几页,可以说过程十分艰苦,就像在黑夜里徒步穿越一片阴森的树林、、、也怪自己基础、能力太差,不过,最后,总算做出来了,学到不少东西,切实地提高了动手能力,也算值了吧!VHDL,FPGA,真是神奇的东西,就像高级语言一样,只描述电路模块的功能,然后电路生成由软件来完成,甚至都不需要连线,只写好代码就行,切实感觉到了现代电子设计的给力之处!对付errors简单,是语法问题,是普通程序员的活儿;对付warnings难,是逻辑问题,算法问题,是工程师的活儿!对这门课的意见与建议:这是一门好课,非常实用的东西,但是它应该早一点结束!不要等这么久,以为给学生充足的时间去准备,其实大家下去根本没有弄,都是等到要进实验室了才着手准备的!到这几天,各种大作业、各种考试如大军压境,再来个EDA,那大家的压力也太大了、、、、2011、12、16。