电子设计自动化EDA技术实验1

合集下载

EDA-实验报告

EDA-实验报告

实验一五人表决器设计一、实验目的1 加深对电路理论概念的理解3 加深计算机辅助分析及设计的概念4 了解及初步掌握对电路进行计算机辅助分析的过程二、实验要求制作一个五人表决器,共五个输入信号,一个输出信号。

若输入信号高电平数目多于低电平数目,则输出为高,否则为低。

三、实验原理根据设计要求可知,输入信号共有2^5=32种可能,然而输出为高则有15种可能。

对于本设计,只需一个模块就能完成任务,并采用列写真值表是最简单易懂的方法。

四、计算机辅助设计设A,B,C,D,E引脚为输入引脚,F为输出引脚。

则原理图如1所示图1.1 五人表决器原理图实验程序清单如下:MODULE VOTEA,B,C,D,E PIN;F PIN ISTYPE 'COM';TRUTH_TABLE([A,B,C,D,E]->[F])[0,0,1,1,1]->[1];[0,1,1,1,0]->[1];[0,1,0,1,1]->[1];[0,1,1,0,1]->[1];[1,0,1,1,1]->[1];[1,1,0,1,1]->[1];[1,1,1,0,1]->[1];[1,1,1,1,0]->[1];[1,1,1,0,0]->[1];[1,1,0,1,0]->[1];[1,1,1,1,1]->[1];[1,1,0,0,1]->[1];[1,0,0,1,1]->[1];[1,0,1,0,1]->[1];[1,0,1,1,0]->[1];END五、实验测试与仿真根据题目要求,可设输入分别为:0,0,0,0,0;1,1,1,1,1;1,0,1,0,0;0,1,0,1,1。

其测试程序如下所示:MODULE fivevoteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[0,0,0,0,0]->[X];[1,1,1,1,1]->[X];[1,0,1,0,0]->[X];[0,1,0,1,1]->[X];END测试仿真结果如图1.2所示:图1.2 五人表决器设计仿真图可知,设计基本符合题目要求。

eda技术实验报告

eda技术实验报告

eda技术实验报告EDA技术实验报告引言EDA(Electronic Design Automation)技术是电子设计自动化的缩写,是现代电子设计中不可或缺的一环。

它通过计算机辅助设计,提高了电路设计的效率和质量。

本文将介绍EDA技术的背景、应用和实验结果。

背景随着电子产品的不断发展,电路设计变得越来越复杂,传统的手工设计已经无法满足需求。

EDA技术的出现填补了这一空白。

它利用计算机的强大计算能力和算法,帮助设计师完成电路设计、仿真、布局和验证等工作。

应用1. 电路设计EDA技术的核心应用是电路设计。

通过EDA工具,设计师可以绘制电路图、选择器件、进行参数设置等。

EDA工具还可以自动进行电路优化,提高电路性能。

2. 仿真验证在电路设计完成后,需要对电路进行仿真验证。

EDA技术可以提供准确的仿真结果,帮助设计师分析电路的性能和稳定性。

仿真验证可以帮助设计师发现潜在的问题,提前解决。

3. 物理布局物理布局是将电路逻辑转化为实际的物理结构。

EDA技术可以自动进行物理布局,优化电路的面积和功耗。

物理布局的好坏直接影响到电路的性能和可靠性。

4. 电路验证在电路设计完成后,需要进行电路验证,确保电路的正确性和可靠性。

EDA技术可以自动进行电路验证,提供准确的验证结果。

电路验证可以帮助设计师发现设计缺陷,提高电路的可靠性。

实验设计在本次实验中,我们选择了一款EDA工具进行实验。

首先,我们设计了一个简单的数字电路,包括与门和或门。

然后,利用EDA工具进行电路仿真和优化。

最后,对电路进行物理布局和验证。

实验结果通过实验,我们得到了以下结果:1. 仿真结果显示,设计的数字电路在不同输入条件下均能正确输出结果,验证了电路的正确性。

2. 通过优化算法,我们成功提高了电路的性能,减少了功耗和面积。

3. 物理布局结果显示,电路的布局紧凑,满足了设计要求。

4. 电路验证结果显示,电路的功能和性能均符合设计要求,验证了电路的可靠性。

EDA技术基础实验报告

EDA技术基础实验报告

《EDA技术基础》实验报告学院:信息科学技术学院专业:电子信息工程指导教师:龙翔完成日期:2013年12月目录实验一MAX-plusll 及开发系统使用 (3)实验二高速四位乘法器设计 (6)实验三秒表的设计 (9)实验四序列检测器的设计 (13)实验五数字频率计的设计 (18)六实验总结 (20)实验一一:实验名称:MAX-plusll 及开发系统使用二:实验内容1.利用MAX-plusII中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件。

2.建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真,并将其设置成为一个元件。

3.再建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真。

4.选择器件“Assign”“Device”“MAX7000S”“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。

然后下载,进行硬件测试,检验结果是否正确。

三.实验程序1).半加器图2)全加器图3)四位全加器四:仿真图1).半加器仿真图2).全加器仿真图3).四位全加器仿真图实验二一:实验名称高速四位乘法器设计二: 实验内容1.利用MAX-plusⅡ中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件,命名为and14。

2.建立一个更高得原理图设计层次,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器。

三:实验程序1.2.四:仿真图实验三一:实验名称秒表的设计二:实验内容(一)、实验步骤1、采用自顶向下的设计方法,首先将系统分块;2、设计元件,即逻辑块;3、一级一级向上进行元件例化(本实验只需例化一次即可),设计顶层文件。

(二)、实验程序设计原理实验程序如三所示,其中输入信号分别为使能信号ENA、清零信号CLR、时钟信号CLK,输出信号有秒针信号CA和分针信号CB。

EDA技术实验报告完整版

EDA技术实验报告完整版

福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:100201079指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级: 2010级姓名:邱彬彬学号: 100201079 实验课程: EDA技术实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:实验一Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。

2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。

Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。

3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。

利用Quartus II 9.0软件实现引脚锁定和编译文件下载。

利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。

5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

eda仿真实验报告

eda仿真实验报告

eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。

在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。

本篇报告将介绍我在EDA仿真实验中的经验和收获。

二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。

通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。

三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。

在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。

2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。

在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。

3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。

这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。

4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。

通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。

5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。

通过对比仿真输出和预期结果,可以判断电路设计的正确性。

如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。

四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。

通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。

无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。

在实验过程中,我还发现了一些有趣的现象。

例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。

EDA实验一38译码器设计

EDA实验一38译码器设计

《电子设计自动化》实验报告实验一实验名称:3-8译码器的设计专业及班级:姓名:学号:一、实验目的:1.掌握组合逻辑电路的设计方法。

2.掌握 VHDL 语言的基本构造及设计的输入方法。

3.掌握 VHDL 语言的基本描绘语句的使用方法。

二、实验步骤(附源代码及仿真结果图):1.成立工程, Quartus II -- project wizard (注意工程目录中不可以出现中文字符,不可以成立在桌面上);弹出窗口如图 2-3 所示。

图 2-3 New Project Wizard 窗口2.点击next,在出现的对话框中输入以下项目信息:a.项目路径,如: D:\EDA experiment\decoder38; b.项目名称,如: decoder38。

如图 2-4 所示:图 2-4 项目路径和项目名称对话框3.点击 2 次 next 后,出现如图 2-5 所示的对话框:a.Device family 中选择 Cyclone IV E;b.Available devices 中选择 EP4CE115F29C7.图 2-5器件选择窗口4.点击next后,出现EDA工具设置对话框。

在Simulation一行中, Tool Name 选择ModelSim-Altera , Fomat(s)选择 VHDL ,如图 2-6 所示。

图 2-6 EDA 工具设置对话框5.点击 next,出现如图 2-7 所示的对话框:图 2-7 新建项目汇总对话框6. 点击 Finish 后,出现如图2-8 所示的界面:图 2-8 decoder38 项目界面7.点击 File->New->VHDL File ,如图 2-9 所示。

点击 ok 封闭对话框。

图 2-9 新建 VHDL 文件窗口8.在文本编写框内键入以下程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38 ISPORT(A, B,C,G1,G2A,G2B: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END decoder38;ARCHITECTURE Behavior OF decoder38 ISSIGNAL indata: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINindata <= C&B&A;PROCESS (indata, G1, G2A,G2B)BEGINIF (G1='1' AND G2A='0' AND G2B='0') THENCASE indata ISWHEN "000"=>Y<="11111110";WHEN "001"=>Y<="11111101";WHEN "010"=>Y<="11111011";WHEN "011"=>Y<="11110111";WHEN "100"=>Y<="11101111";WHEN "101"=>Y<="11011111";WHEN "110"=>Y<="10111111";WHEN "111"=>Y<="01111111";WHEN OTHERS =>Y<="XXXXXXXX";END CASE;ELSEY<="11111111";END IF;END PROCESS;END Behavior;9. 将文件保留为decoder38.vhd 后,开始编译,点击Processing->Start Compilation ,编译成功后,出现如图2-10 所示界面:图 2-10 编译成功界面10.再次新建一个 vhdl 文件,键入以下的 modelsim 测试程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38_tb ISEND decoder38_tb;ARCHITECTURE Behavior OF decoder38_tb ISCOMPONENT decoder38PORT (A,B,C,G1,G2A,G2B: IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END COMPONENT;SIGNAL A: STD_LOGIC:='0';SIGNAL B : STD_LOGIC:='0';SIGNAL C: STD_LOGIC:='0';SIGNAL G1: STD_LOGIC:='1';SIGNAL G2A: STD_LOGIC:='0';SIGNAL G2B: STD_LOGIC:='0';SIGNAL Y: STD_LOGIC_VECTOR(7 DOWNTO 0);CONSTANT CLK_PERIOD: TIME:=10ns;BEGINA<=not A after CLK_PERIOD;B<=not B after 20ns;C<=not C after 40ns;U1: decoder38 port map(A=>A,B=>B,C=>C,G1=>G1,G2A=>G2A,G2B=>G2B,Y=>Y);END behavior;11.将文件保留为 decoder38_tb.vhd ,编译经过。

电子设计自动化EDA实验

电子设计自动化EDA实验

电子设计自动化(EDA)实验引言电子设计自动化(EDA)是一种利用计算机技术来辅助电子系统设计的工具和方法。

传统的电子设计过程通常需要进行大量的手工操作,但由于电子系统的复杂性不断增加,现代电子设计已经无法满足快速、高效、准确开发产品的需求。

因此,EDA成为了现代电子设计的关键技术。

本实验将介绍EDA的基本概念和相关工具,以帮助大家更好地理解和应用EDA技术。

1. EDA的定义EDA,即Electronic Design Automation,是指利用计算机和相关工具来辅助进行电子系统设计的一种技术。

它采用了计算机辅助设计(CAD)的概念和方法,结合了电路设计、逻辑设计、物理设计等多种技术,可大大提高电子系统设计的效率和可靠性。

EDA技术已经广泛应用于各个层次的电子系统设计中,包括电路设计、芯片设计、电路板设计等。

2. EDA的基本流程EDA的基本流程包括以下几个主要步骤:2.1 电路设计电路设计是EDA的首要步骤之一。

在电路设计阶段,需要通过选择合适的元器件和器件参数来构建电路图,并进行电路仿真和性能评估。

常用的电路设计工具包括Altium Designer、Cadence 等。

2.2 逻辑设计逻辑设计是EDA的核心步骤之一。

在逻辑设计阶段,需要将电路图转化为逻辑电路图,并进行逻辑仿真、逻辑综合等操作,以验证电路功能和性能的正确性。

常用的逻辑设计工具包括Verilog、VHDL等。

2.3 物理设计物理设计是EDA的重要步骤之一。

在物理设计阶段,需要进行芯片布局、布线规划、时钟树设计等操作,以实现电路的物理布局和布线,最终生成物理设计数据。

常用的物理设计工具包括Cadence、Synopsys等。

2.4 验证与验证验证与验证是EDA的关键步骤之一。

在验证与验证阶段,需要进行电路功能验证、时序验证、功耗验证等操作,以保证电子系统设计的正确性和可靠性。

常用的验证与验证工具包括Mentor、Cadence等。

第一章EDA技术实验基础实验

第一章EDA技术实验基础实验

第一章 技术实验基础实验实验一 用原理图输入法设计一位半加器一、实验目的.熟悉利用Ⅱ的原理图输入方法设计简单组合电路;.通过一个半加器的设计把握利用软件进行电子线路设计的详细流程;.学会对实验板上的进行编程下载,硬件验证自己的设计项目。

二、实验设备及器材配置机一台综合实验开发系统中:基本核心板模块、发光管显示模块、普通键盘模块、下载器、下载线、十针连接线根。

三、实验原理.根据真值表表写出电路的逻辑表达式表 一位半加器真值表其中, 为输入端口,与分别为半加器的和与进位。

其逻辑表达式为:o s a b =⊕ab Co =.根据逻辑表达式进行原理图设计。

注意:在进行原理图设计时,元件之间的连线应尽量避免与元件外的虚线框重合。

四、实验步骤:.为本项工程设计建立文件夹,注意文件夹名不能用中文,且不可带空格。

.根据半价器逻辑表达式进行原理图设计。

.对所设计的工程文件进行编译,排查错误。

.时序仿真,记录时序分析表。

.选择目标芯片。

.引脚锁定。

推荐锁定形式:输入接口选择核心板上接口,与普通键盘模块相连,连接后,可任意选择按键所对应的引脚(例如,选择和,它们所对应的引脚编号为和;输出接口选择核心板上接口,与发光管显示模块相连,连接后,课任意选择发光二级管所对应的引脚(例如选择和,它们所对应的引脚编号为和)。

注:输入输出接口可在核心板上十针接口中任意选择(白色接口除外),对应的引脚可在核心板上的引脚标注中查找。

.编程下载,观察硬件结果。

下载时请下载器形式请选择。

注:如下载后硬件调试没有通过,需重新检查连接,如果修改后重新进行下载,请将下载界面中原有的*文件删除,重新加载一次,然后再下载。

.撰写实验报告册,思考如何利用半加器设计一位全加器。

五、练习题. 请用本实验所作的一位半加器设计一位全加器。

要求利用原理图输入方式。

. 请利用一位全加器设计四位全加器。

要求利用原理图输入方式。

注:本练习主要使学生牢固掌握原理图输入设计方法,同时掌握设计中有关层次的基本概念。

南理工EDA1实验报告-模电仿真

南理工EDA1实验报告-模电仿真

EDA设计(Ⅰ)实验报告院系:电子工程与光电技术学院专业:电子信息工程学号:914104姓名:指导老师:宗志园目录实验一单级放大电路的设计与仿真 (2)一、实验目的 (2)二、实验要求 (2)三、实验原理图 (3)四、三极管参数测试 (3)五、电路静态工作点测试 (6)六、电路动态参数测试 (8)七、频率响应测试 (10)八、数据表格 (10)九、理论分析 (11)十、实验分析 (11)实验二差动放大电路的设计与仿真 (12)一、实验目的 (12)二、实验要求 (12)三、实验原理图 (12)四、三极管参数测试 (13)五、电路工作测试 (18)六、电路增益测试 (18)七、数据表格 (21)八、理论分析 (22)九、实验分析 (22)实验三负反馈放大电路的设计与仿真 (23)一、实验目的 (23)二、实验要求 (23)三、实验原理图 (24)四、电路指标分析 (25)五、电路幅频特性和相频特性 (30)六、电路的最大不失真电压 (31)七、数据表格 (32)八、误差分析 (33)九、实验分析 (33)实验四阶梯波发生器电路的设计 (34)一、实验目的 (34)二、实验要求 (34)三、实验原理图 (35)四、实验原理简介 (35)五、电路分级调试步骤 (36)六、误差分析 (40)七、电路调整方法 (40)八、实验分析 (40)实验一单级放大电路的设计与仿真一、实验目的(1)设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz,峰值5mV ,负载电阻5.1kΩ,电压增益大于70.(2)调节电路静态工作点,观察电路出现饱和失真、截止失真和正常放大的输出信号波形,并测试对应的静态工作点值.(3)在正常放大状态下测试:1.三极管的输入、输出特性曲线和β、r be、r ce值;2.电路的输入电阻、输出电阻和电压增益;3.电路的频率响应曲线和f L、f H值.二、实验要求(1)给出单级放大电路原理图.(2)实验过程中各个参数的电路仿真结果:1.给出测试三极管输入、输出特性曲线和β、r be、r ce值的仿真图;2.给出电路饱和失真、截止失真和不失真的输出信号波形图;3.给出测量输入电阻、输出电阻和电压增益的仿真图;4.给出电路的幅频和相频特性曲线(所有测试图中要有相关仪表或标尺数据).(3)给出相关仿真测试结果.(4)理论计算电路的输入电阻、输出电阻和电压增益,并和测试值做比较,分析误差来源.三、实验原理图图1-1 实验原理图四、三极管参数测试图1-2 电路静态工作点(1)输入特性图1-3 测量输入特性曲线电路图图1-4 输入特性曲线(2)输出特性图1-5 测量输出特性曲线电路图图1-6输出特性曲线(3)根据图1-4及公式i V rb be be ∆∆= , 可计算出r be = . (4)根据图1-6及公式V r c CE ce ∆∆= ,可计算出r ce = . (5)根据图1-2.五、电路静态工作点测试(1)饱和失真图1-7饱和失真波形图1-8饱和失真数据(2)截止失真图1-9截止失真波形及其数据(3)正常放大黄色曲线为输入波形,蓝色曲线为输出波形.图1-10正常放大波形六、电路动态参数测试(1)Av图1-11 Av测量电路计算,得到.(2)Ri图1-12 Ri测量电路计算,得到.(3)Ro图1-13 Ro测量电路计算,得到. 七、频率响应测试图1-14 频率响应测试八、数据表格表1-1 静态工作点调试数据表1-2 电路正常工作数据九、理论分析(1)Ri理论值:.误差:.(2)Ro理论值:.误差:.(2)Av理论值:.误差:.十、实验分析本实验是EDA的第一项实验,在老师的指导下我初步了解了电路仿真的基础知识和Multisim软件的使用方法,并完成了第一个电路:单机放大电路的设计与参数测量。

2020年(OA自动化)电子设计自动化(eda)实验指导书

2020年(OA自动化)电子设计自动化(eda)实验指导书

(OA自动化)电子设计自动化(eda)实验指导书电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。

另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。

于是一场ASIC与FPGA/CPLD之争在所难免。

然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。

EDA技术正是这场较量的推动引擎之一。

一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大部分工作由EDA软件完成。

全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera公司的MAX+PLUSⅡ、QuartusⅡ软件;Xilinx公司的Foundation、ISE软件,Lattice公司的ispExpert软件,Actel 公司的Libero软件等。

这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。

另外,在以SOC 芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。

EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。

EDA的实验报告

EDA的实验报告

杭州电子科技大学实验报告实验课程名称实实班姓学指导教验验序内号容级名号师eda技术 1 分频器与频率计设计 123 吕文 123 黄某二○一四年 4月 18 日一、实验的目的与要求实验名称:分频器与频率计设计实验目的:1、初识verilog hdl语言熟练verilog 的语法2、学习quartus调用modelsim进行仿真3、掌握用fpga实现简易的分频器与频率计的原理与方法实验要求:1、设计一个可控分频器,输入20mhz或 12mhz 时钟(可选择其中一种),输出100hz~10khz,输出100hz ~ 10khz,输出频率数控可调(按键或者使用in-system sources and probes),输出波形占空比为50%,接蜂鸣器;2、设计一个简易频率计,输入为方波,测量频率的范围100hz ~ 9999hz ,测量精度&lt;1%,频率计输出可以接数码管或者使用in-system sources and probes观察3、分频器输出接频率计的输入二、实验原理分频器的原理:把输入的信号作为计数脉冲,由于计数器的输出端口是按一定规律输出脉冲的,所以对不同的端口输出的信号脉冲,就可以看作是对输入信号的”分频“。

频率计是对信号的频率进行测量并显示测量结果。

原理就是在1秒钟内对时钟计数,得到的数字就是频率大小。

频率计的设计是用一个标准的时钟20mhz来做参照,以1s钟为周期,为20000000个周期,同时定义一个计数的变量q ,当输入的端口出现上升沿的时候,变量加1,那么在一秒钟内cout的数值即为,该波形的频率。

最后将分频器的输出端口接入频率计的输入端口,用频率计来测量波形的频率大小,通过比较实际的频率fre1与测出来的频率大小fre2,就知道了该频率计的误差。

三、实验内容实验步骤 1、大概的把框架建起来,把思路想好2、先设计一个符合要求的分频器3、进行仿真,看效果3、再设计一个符合要求的频率计4、用modelsim进行仿真5、把这两部分连接起来,最后进行仿真得到结果6、得到频率计的测频误差本实验分频器的时钟是20mhz,分频出来的是100hz~10khz的波形,那么就定义一个变量当做分频比[17:0] div ,可以用按键来控制div的大小,继而实现分频出来的大小。

EDA技术及应用实验报告

EDA技术及应用实验报告

EDA技术及应用实验报告摘要:EDA(Electronic Design Automation),即电子设计自动化,是一种用于半导体芯片设计的计算机辅助设计工具。

本实验通过对EDA技术的学习和应用,了解了EDA在电路设计中的重要性和实际应用。

1.引言电子设计自动化(EDA)是为了提高电子电路设计的效率和质量而发展的一种计算机辅助设计工具。

本实验通过学习EDA技术的相关知识和应用实例,深入了解EDA在电路设计中的应用及其优势。

2.EDA技术的基本原理EDA技术是通过计算机辅助分析、合成和验证电路的工具。

它包括电路仿真、布局布线、逻辑综合等多个方面。

其中,电路仿真是通过计算机模拟电路的工作原理和特性;布局布线是将电路逻辑设计映射为实际的物理设计;逻辑综合是将逻辑电路转换为门级或者布尔级电路。

3.EDA技术在电路设计中的应用3.1电路仿真电路仿真是一个重要的EDA技术应用,通过仿真可以验证电路的运行情况,提前发现并解决潜在的问题,从而降低设计风险和成本。

3.2布局布线布局布线是指将逻辑电路映射为物理电路的过程。

通过EDA工具的自动布局布线功能,可以将逻辑电路转换为最优的电路布线,减少电路面积和功耗,并提高电路的稳定性和性能。

3.3逻辑综合逻辑综合是将高级语言描述的逻辑电路转换为可实现的门级或布尔级电路。

通过EDA工具的逻辑综合功能,可以快速生成电路的逻辑结构,避免手工设计过程中的错误和繁琐性。

4.实验设计及结果本实验选取了一款集成电路芯片设计作为实验对象,使用EDA工具进行电路仿真、布局布线和逻辑综合三个方面的实验。

4.1电路仿真实验在电路仿真实验中,我们首先通过EDA工具搭建了待仿真的电路原理图,然后设置仿真条件和参数,运行仿真,并得到了仿真结果。

仿真结果显示,电路工作正常,符合预期。

4.2布局布线实验在布局布线实验中,我们将电路的逻辑设计转换为物理设计,通过EDA工具的自动布局布线功能进行布局布线。

电子设计(EDA)实验报告(4位二进制加法器)

电子设计(EDA)实验报告(4位二进制加法器)

电子设计(EDA)实验报告(4位二进制加法器)一、实验名称4位二进制加法器二、实验目的掌握输入编辑原理图文件的方法;掌握编译原理图文件的方法;掌握仿真原理图文件的方法;理解Quartus 2 器件编程的方法三、实验环境计算机与Quartus 2 工具软件四、实验原理图、源程序entity halfadd isport(a1,b1:in bit;s1,c1:out bit);end ;architecture a of halfadd isbeginprocess(a1,b1)begins1<=a1 xor b1 after 10ns;c1<=a1 and b1 after 10ns;end process;end a;entity orgate isport(a,b:in bit;o:out bit);end orgate;architecture a of orgate isbegino<=a or b;end a;entity fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end ;architecture a of fulladd issignal temp_s,temp_c1,temp_c2:bit; component halfaddport(a1,b1:in bit;s1,c1:out bit);end component;component orgate port(a,b:in bit;o:out bit);end component;beginu0:halfadd port map(i1,i2,temp_s,temp_c1);u1:halfadd port map(temp_s,c_in,fs,temp_c2); u2:orgate port map(temp_c1,temp_c2,c_out); end a;entity add4 isport(a,b:in bit_vector(3 downto 0);cin:in bit;fs:out bit_vector(3 downto 0);cout:out bit);end add4;architecture a of add4 issignal temp_co0,temp_co1,temp_co2:bit; component fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end component;beginu0:fulladd port map(a(0),b(0),cin,fs(0),temp_co0);u1:fulladd port map(a(1),b(1),temp_co0,fs(1),temp_co1);u2:fulladd port map(a(2),b(2),temp_co1,fs(2),temp_co2);u3:fulladd port map(a(3),b(3),temp_co2,fs(3),cout);end a;五、实验波形图及分析延迟12.08ns。

门电路 EDA实验报告

门电路 EDA实验报告

门电路EDA实验报告门电路是数字逻辑电路的一种基本电路,由逻辑门组成。

逻辑门包括与门、或门、非门等,通过它们的组合和联接可以实现各种复杂的逻辑功能。

在电子设计自动化(EDA)实验中,我们通常会使用相应的软件工具进行门电路的设计和仿真。

这些工具提供了图形化界面和强大的功能,可以帮助我们快速设计、验证和优化门电路。

首先,在实验中我们需要进行门电路的设计。

设计的目标是根据所需的逻辑功能,选择合适的逻辑门组合,并进行连线。

设计阶段主要包括逻辑门选择、输入输出定义和连线布局等。

通过EDA工具提供的图形化界面,我们可以直观地完成这些设计任务。

其次,设计完成后,我们需要进行电路的仿真。

仿真可以帮助我们预测电路的行为,并进行功能验证。

通过EDA工具提供的仿真功能,我们可以输入测试用例,观察电路的输出结果,并分析其与设计预期的差异。

如果存在差异,我们可以通过逐步调试和优化来解决问题。

此外,在实验中我们还可以使用EDA工具提供的其他功能。

例如,我们可以进行电路的布局和布线。

布局是指将电路中的组件放置在芯片上的具体位置,布线是指将各个组件之间通过导线连接起来。

通过优化布局和布线可以提高电路的性能和稳定性。

最后,在实验报告中我们需要对实验结果进行总结和分析。

我们可以比较仿真结果与预期设计的差异,并讨论可能的原因。

同时,我们还可以探讨改进和优化的方法,以提高电路的性能。

总之,门电路EDA实验通过使用EDA工具对门电路进行设计、仿真和优化,可以帮助我们快速实现复杂的逻辑功能。

实验报告应该包括设计过程、仿真结果和分析等内容,以便更好地理解和应用门电路的原理和技术。

EDA实验

EDA实验

一、实验部分实验一仪器的熟悉及半加器的设计一、实验内容:(1)熟悉实验台(2)用VHDL设计半加器及或门,并给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。

(3)根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

实验二简单组合电路的设计一、实验目的:熟悉Max+plusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1:利用MAX+plusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。

三、实验内容2:将多路选择器看成是一个元件mux21a,利用元件例化语句并将此文件放在同一目录。

以下是参考程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT (a1,a2,a3,s0,s1 : IN STD_LOGIC;outy : OUT STD_LOGIC );END ENTITY MUXK;ARCHITECTURE BHV OF MUXK ISCOMPONENT MUX21APORT ( a,b,s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;SIGNAL tmp : STD_LOGIC;BEGINu1 : MUX21A PORT MAP(a=>a2,b=>a3,s=>s0,y=>tmp);u2 : MUX21A PORT MAP(a=>a1,b=>tmp,s=>s1,y=>outy);END ARCHITECTURE BHV ;对上例分别进行编译、综合、仿真。

并对其仿真波形作出分析说明,并画出电路结构,说明该电路的功能。

四、实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

EDA技术实验报告

EDA技术实验报告

EDA技术实验报告实验⼀利⽤原理图输⼊法设计4位全加器⼀、实验⽬的:掌握利⽤原理图输⼊法设计简单组合电路的⽅法,掌握MAX+plusII 的层次化设计⽅法。

通过⼀个4位全加器的设计,熟悉⽤EDA 软件进⾏电路设计的详细流程。

⼆、实验原理:⼀个4位全加器可以由4个⼀位全加器构成,全加器的进位以串⾏⽅式实现,即将低位加法器的进位输出cout 与相邻的⾼位加法器的低位进位输⼊信号cin 相接。

1位全加器f-adder 由2个半加器h-adder 和⼀个或门按照下列电路来实现。

半加器h-adder 由与门、同或门和⾮门构成。

四位加法器由4个全加器构成三、实验内容:1. 熟悉QuartusII 软件界⾯,掌握利⽤原理图进⾏电路模块设计的⽅法。

QuartusII 设计流程见教材第五章:QuartusII 应⽤向导。

2.设计1位全加器原理图(1)⽣成⼀个新的图形⽂件(file->new->graphic editor )(2)按照给定的原理图输⼊逻辑门(symbol ->enter symbol)COCO 1S 2S 3S 4(4)为管脚和节点命名:在管脚上的PIN_NAME处双击⿏标左键,然后输⼊名字;选中需命名的线,然后输⼊名字。

(5)创建缺省(Default)符号:在File菜单中选择Create Symbol Files for Current File项,即可创建⼀个设计的符号,该符号可被⾼层设计调⽤。

3.利⽤层次化原理图⽅法设计4位全加器(1)⽣成新的空⽩原理图,作为4位全加器设计输⼊(2)利⽤已经⽣成的1位全加器的缺省符号作为电路单元,设计4位全加器的原理图.4.新建波形⽂件(file->new->Other Files->Vector Waveform File),保存后进⾏仿真(Processing ->Start Simulation),对4位全加器进⾏时序仿真。

电子设计自动化EDA技术课程设计

电子设计自动化EDA技术课程设计

电子设计自动化EDA技术课程设计一、背景总述电子设计自动化(Electronic Design Automation, EDA)技术指的是利用计算机软、硬件工具以及CAD技术来设计、仿真电子电路、系统,辅助设计工程师在电路、系统设计和验证、PCB设计、印制、生产、测试中快速有效地完成工作。

随着电子技术的迅速发展,EDA技术的重要性日益凸显。

本课程设计旨在让学生了解EDA软件的基本使用方法,并借助EDA工具设计、仿真、验证电路,提高学生的电子设计和EDA技能。

二、课程设计目标1.熟悉EDA软件的基本使用方法;2.了解EDA技术在电路、系统设计和验证、PCB设计、印制、生产、测试等方面的应用;3.了解EDA技术的发展历程、现状、趋势及相关的标准和规范;4.综合运用所学知识和技能,设计、仿真、验证电路。

三、课程大纲及教学安排课程大纲如下:章节内容学时1 EDA技术概述 2章节内容学时2 EDA软件介绍 43 电路设计流程 64 电路仿真技术85 PCB设计流程86 PCB制造流程 67 PCB测试技术 48 EDA技术的应用 69 课程设计实践项目 6教学安排:•第一周:课程介绍,EDA技术概述•第二周:EDA软件介绍1•第三周:EDA软件介绍2•第四周:电路设计流程•第五周:电路设计流程•第六周:电路仿真技术1•第七周:电路仿真技术2•第八周:PCB设计流程1•第九周:PCB设计流程2•第十周:PCB制造流程•第十一周:PCB测试技术•第十二周:EDA技术的应用•第十三周:课程设计实践项目介绍•第十四周:课程设计实践项目报告和演示四、课程设计实践项目本课程设计实践项目要求学生综合运用所学知识和技能,设计、仿真、验证一个电路。

项目步骤如下:1.确定电路类型和功能,选择EDA软件进行电路设计和仿真;2.使用EDA软件进行原理图绘制和电路仿真;3.对仿真结果进行分析和图表绘制,评估电路性能;4.根据仿真结果进行电路设计和优化,再次进行仿真并评估性能;5.根据电路设计结果进行电路板的制作和组装;6.使用测试设备对电路进行测试、分析,调试完善电路;7.撰写实验报告。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电子技术实验报告
姓名*:班级*:学号*:
同组人*:指导教师*:日期*:
*注:需要同学用蓝色或黑色钢笔或圆珠笔手工签写!
电子设计自动化EDA技术实验1
一、实验目的
初步掌握现代大规模可编程数字逻辑器件的开发应用方法。

二、提供的实验条件
1.软件:MAX-plusⅡ。

2.仪器和设备:计算机、EDA实验箱。

三、实验内容
1.初步掌握大规模可编程数字逻辑器件开发软件MAX-plusⅡ的最基本操作和使用方法。

2.设计一个三输入表决逻辑功能电路。

3.用GDF原理图输入法、TDF逻辑表达式输入法、TDF真值表输入法建立该电路功能,并且需要进行逻辑仿真。

4.编译和仿真正确后下载到实验箱,证明设计的正确性。

四、预习要求和实验报告要求
1.必须提前预习《基础电子电路设计与实践》书的第十一章(264页—282页)11.1.2节——11.2.4节内容,否则无法进行实验!
2.把所完成的各项工作逐一在计算机上复制或者屏幕拷贝下来,粘贴在本报告电子文档中(见后附说明),最后上交打印文稿(用16K纸打印)。

注意!报告头中人名、班级等要求打印后手工填写!
3.必须详细撰写通过做实验而获取的收获、感想、体会以及建议等。

五、实验报告具体内容
(报告页数不限,自行排版)
附:参考示例(以下仅为参考,在写报告时,要把以下内容删除掉!粘贴自己的设计)举重裁判电路设计结果如下(本介绍忽略了设计过程!同学们在撰写报告时,应撰写详细的设计过程!)。

1.GDF输入法
A:主裁判,B、C:副裁判。

输入为高电平有效,输出为低电平有效(发光二极管为共阳极低电平才能亮)。

GDF输入法的电路为:
2.TDF逻辑表达式输入法
SUBDESIGN JZTDF
(
A, B, C : INPUT;
Z : OUTPUT;
)
BEGIN
Z=!(A&B#A&C);
END;
3.TDF真值表输入法
SUBDESIGN ZHENZHIBIAO
(
A, B,C : INPUT ;
Z : OUTPUT;
)
BEGIN
TABLE
A,B,C => Z;
0,0,0 => 1;
0,0,1 => 1;
0,1,0 => 1;
0,1,1 => 1;
1,0,0 => 1;
1,0,1 => 0;
1,1,0 => 0;
1,1,1 => 0;
END TABLE;
END;
三种方式仿真结果均为:。

相关文档
最新文档