汽车尾灯控制
(完整word版)51单片机课程设计汽车尾灯控制
华中师范大学武汉传媒学院传媒技术学院电子信息工程2011仅发布百度文库,版权所有.汽车尾灯控制要求:A.使用单片机实现汽车尾灯控制的设计B。
当按不同的按键时,显示不同的转向,并可以显示停止一设计框图:二方案设计:模拟汽车尾灯的设计,硬件制作比较简单,用LED灯就可以很好的模拟汽车尾灯。
而信号的输入也可以用简单的案件来处理。
但汽车在行驶时,灯的处理的实时性急稳定性很重要,如果出现误操作,就很容易出现交通事故。
所以本次设计的重点是在编写程序上,想要出现满意的效果必须要对C编程熟悉,并对按键的输入的实时性以及更重要的稳定性有着高的要求。
三硬件原图设计:1最小系统:2按键部分:3尾灯和仪表部分:四程序流程图:初始化按键扫描LED灯控制退中断五仿真图:六制作:调试:程序设定在中断函数里扫描按键,再通过标志位来改变灯的状态.在实际里按键后灯会有一直亮的情况,再改变扫描的时间,以及改变防抖动处理的时间都得不到理想的状态。
最后发现是中断计数时间过短导致在处理按键时函数已经在中断里按键无法改变标志位,导致灯常亮的情况.通过延长了进入中断时间,这个情况就完全解决了没有再出现常亮情况。
心得体会:通过这次课程设计,是我对C语言处理实际问题的能力。
输入输出通过哟个好的程序框架才能很好的协作。
这也是C语言在处理硬件的好处.也让我对单片机中断的理解,对程序的整体设计方面的学习正是我欠缺的地方。
通过这次让我很好的锻炼了自己.这次课程设计也让我懂得了团队合作的实际意义。
特别是在程序出现了大问题,起初无法解决的,我们一起讨论一起查质料一起学习.最后终于解决的了的喜悦真的很爽.七原程序:#include 〈REG52。
H>#include 〈intrins.h〉unsigned char const discode[] ={0x30,0x06,0x00,0x73};#define const_key_time1 20 //按键去抖动延时的时间#define const_key_time2 20 //按键去抖动延时的时间#define const_key_time3 20 //按键去抖动延时的时间#define const_key_time4 20 //按键去抖动延时的时间#define const_key_time5 20 //按键去抖动延时的时间#define const_display_time1 60 //闪烁#define const_display_time2 120void initial_myself();void initial_peripheral();//void delay_short(unsigned int uiDelayShort);void delay_long(unsigned int uiDelaylong);void T0_time(); //定时中断函数void key_service();//按键服务的应用程序void key_scan();//按键扫描函数放在定时中断里sbit key_sr1=P2^0; //左转键sbit key_sr2=P2^2;//右转键sbit key_sr3=P2^1; //转向归位键sbit key_sr4=P2^3; //停车键sbit left=P2^6;sbit right=P2^5;unsigned char ucKeySec=0; //被触发的按键编号unsigned int uiKeyTimeCnt1=0;//按键去抖动延时计数器unsigned char ucKeyLock1=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt2=0;//按键去抖动延时计数器unsigned char ucKeyLock2=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt3=0;//按键去抖动延时计数器unsigned char ucKeyLock3=0;//按键触发后自锁的变量标志unsigned int uiKeyTimeCnt4=0;//按键去抖动延时计数器unsigned char ucKeyLock4=0; //按键触发后自锁的变量标志unsigned int uiLedTimeCnt1=0; //闪烁计数器unsigned int uiLedTimeCnt2=0;unsigned int uiLedTimeCnt4=0;uidisplayTimeCnt1=0; // 闪烁延时计数器/*//根据原理图得出的共阴数码管字模表code unsigned char dig_table[]={0x3f,//0 序号00x06,//1 序号1 0x5b, //2 序号20x4f,//3 序号30x66,//4 序号4 0x6d, //5 序号50x7d, //6 序号60x07,//7 序号7 0x7f,//8 序号80x6f, //9 序号90x00, //无序号100x40,//—序号11 0x73,//P 序号12};*/void main(){initial_myself();delay_long(100);initial_peripheral();while(1){key_service(); //按键服务的应用程序}}void key_scan()//按键扫描函数放在定时中断里{if(key_sr1==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock1=0; //按键自锁标志清零uiKeyTimeCnt1=0;//按键去抖动延时计数器清零}else if(ucKeyLock1==0)//有按键按下,且是第一次被按下uiKeyTimeCnt1++;//累加定时中断次数if(uiKeyTimeCnt1>const_key_time1){uiKeyTimeCnt1=0;ucKeyLock1=1; //自锁按键置位,避免一直触发ucKeySec=1; //触发1号键}}if(key_sr2==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock2=0; //按键自锁标志清零uiKeyTimeCnt2=0;//按键去抖动延时计数器清?}else if(ucKeyLock2==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt2++; //累加定时中断次数if(uiKeyTimeCnt2〉const_key_time2)uiKeyTimeCnt2=0;ucKeyLock2=1; //自锁按键置位,避免一直触发ucKeySec=2;//触发2号键}}if(key_sr3==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock3=0; //按键自锁标志清零//uiKeyTimeCnt3=0;//按键去抖动延时计数器清?}else if(ucKeyLock3==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt3++; //累加定时中断次数if(uiKeyTimeCnt3>const_key_time3){uiKeyTimeCnt3=0;ucKeyLock3=1;//自锁按键置位,避免一直触发ucKeySec=3; //触发3号键P1=discode[2];left=0;right=0;}}if(key_sr4==1)//IO是高电平,说明按键没有被按下,这时要及时清零一些标志位{ucKeyLock4=0; //按键自锁标志清零uiKeyTimeCnt4=0;//按键去抖动延时计数器清?}else if(ucKeyLock4==0)//有按键按下,且是第一次被按下{uiKeyTimeCnt4++; //累加定时中断次数if(uiKeyTimeCnt4>const_key_time4){uiKeyTimeCnt4=0;ucKeyLock4=1; //自锁按键置位,避免一直触发ucKeySec=4;//触发4号键}}}void key_service()//按键服务的应用程序{switch(ucKeySec) //按键服务状态切换{case 1:// 左转按键while(ucKeySec==1){//uiLedTimeCnt1=0;if(uiLedTimeCnt1〉const_display_time1){P1=discode[0];left=1;if(uiLedTimeCnt1〉const_display_time2)uiLedTimeCnt1=0;}else{P1=0x00;left=0;}}//ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;case 2:// 右转按键while(ucKeySec==2){//uiLedTimeCnt2=0;if(uiLedTimeCnt2>const_display_time1){P1=discode[1];right=1;if(uiLedTimeCnt2>const_display_time2)uiLedTimeCnt2=0;}else{P1=0x00;right=0;}}//ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;case 4:while(ucKeySec==4)//停车按键{//uiLedTimeCnt4=0;if(uiLedTimeCnt4>const_display_time1){P1=discode[3];right=1;left=1;if(uiLedTimeCnt4>const_display_time2)uiLedTimeCnt4=0;}else{right=0;left=0;}}// ucKeySec=0;//响应按键服务处理程序后,按键编号清零,避免一致触发break;}}void T0_time() interrupt 1{TF0=0; //清除中断标志TR0=0; //关中断key_scan();//按键扫描函数//key_service();//按键服务的应用程序uiLedTimeCnt1++;//闪烁计数uiLedTimeCnt2++;uiLedTimeCnt4++;TH0=0xf8; //重装初始值(65535-500)=65035=0xfe0bTL0=0x30;TR0=1;//开中断}void delay_long(unsigned int uiDelayLong){unsigned int i;unsigned int j;for(i=0;i〈uiDelayLong;i++){for(j=0;j〈500;j++) //内嵌循环的空指令数量{;//一个分号相当于执行一条空语句}}}void initial_myself() //第一区初始化单片机{P2=0xff;P1=0x00;TMOD=0x01;//设置定时器0为工作方式1TH0=0xf8;//重装初始值(65535-500)=65035=0xfe0b TL0=0x30;}void initial_peripheral() //第二区初始化外围{left=0;right=0;EA=1; //开总中断ET0=1;//允许定时中断TR0=1;//启动定时中断}。
汽车尾灯控制电路设计
汽车尾灯控制电路设计
汽车尾灯控制电路是汽车电子系统中非常重要的一部分,它控制装配在汽车后尾部的
尾灯的工作状态,以确保安全行驶。
下面,我们对其电路逻辑做了详细介绍。
汽车尾灯控制电路主要采用了定时器与光敏电阻来检测汽车的尾灯是否正常工作,从
而确保汽车的安全性。
首先,电路的输入部分包括一个定时器,它用来控制该电路的功能。
此外,一个光敏电阻被用来检测夜间汽车是否开启尾灯,以便检测汽车的安全行驶。
此外,还配备有一个按钮开关,用来控制尾灯是否打开。
电路的输出部分主要包括定时器,它负责检测汽车夜间走行时,尾灯是否开启。
当汽
车夜间行驶时,该定时器将开始计时,一旦计时到达指定时间,它将使汽车尾灯开启。
另外,光敏电阻将检测出汽车是否已经熄灭尾灯,一旦检测到尾灯已熄灭,定时器将停止计时,尾灯也将被关闭。
此外,按钮开关也可以控制汽车的尾灯的工作状态。
当汽车在夜间行驶时,拥有尾灯
的按钮可用于手动控制尾灯的工作状态。
总而言之,汽车尾灯控制电路是以定时器,光敏电阻及按钮开关为核心的控制系统。
它可以有效地帮助汽车保持夜间行驶的安全,以期在黑夜行驶的路上,可以有效的显示汽
车和其他车辆的位置,有效保护行人和其他车辆的安全。
汽车尾灯控制电路
汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路
汽车尾灯控制电路设计
汽车尾灯控制电路课程设计报告
汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1 汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:图 2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
基于Multisim的汽车尾灯控制设计
一、设计任务汽车尾灯控制电路的设计,要求实现汽车左转弯、右转弯、停止等条件下尾灯的点亮与熄灭情况。
二、设计条件本课题设计基于强大的数字电路板仿真软件Multisim,该软件具有电脑模拟各种电路功能,其运用各种仿真器件可达到现实器件同样的功能效果。
三、设计要求假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)1、汽车正常运行时指示灯全灭;2、左转弯时左侧3个指示灯按左循环顺序点亮;3、右转弯时右侧3个指示灯按右循环顺序点亮;4、临时刹车时,所有指示灯同时闪烁。
四、设计内容设计内容包括运用主从JK触发器构成一个3进制计数器,为汽车尾灯按左(右)循环闪烁控制电路的设计提供脉冲;并使用74LS138D3线-8线译码器来控制指示灯的亮灭情况。
设计一个开关控制电路,来控制尾灯的闪烁,并决定74LS138D译码器的工作情况。
1.设计思想:开关控制电路译码电路驱动电路A B三进制计数电路图1 汽车尾灯控制框图图一为汽车尾灯控制电路方框图,其中比较复杂的是三进制计数电路和译码电路。
开关控制电路由2个开关控制,通过控制对译码电路提供的信号来控制驱动电路;三进制计数器电路由2个主从JK触发器构成,通过主从JK触发器的特性构成时序逻辑电路来实现三进制计数;译码电路采用74LS138D译码器,使用3线8线译码器可以控制8个端口的输出,而本实验只需要使用6个端口,其余两个端口闲置。
通过三进制计数器和开关控制电路来控制译码器6个端口的逻辑状态;驱动电路采用常用的LED管,采用共阳极形式,LED管的正极接+5V电压,负极通过驱动电路来控制LED的亮灭情况。
2.电路结构与原理图(1)开关控制电路:如图2图2 开关控制电路开关两端一端接高电平,一端接地(低电平)。
74LS86D与74LS138的输入控制端连接,当开关同时闭合或断开的时候,输入相同,74LS86输出为“0”,则74LS138不译码。
若两开关同时断开,则74LS04D的输出为“1”;而74LS10D接有CP脉冲,所以此时74LS00D 的输出完全决定于CP脉冲;当两开关同时闭合,74LS00D输出为“1”;B开关打开;B开关闭合,A开关打开时的分析也按照上面的方法来分析实现。
汽车尾灯控制电路原理
汽车尾灯控制电路原理汽车尾灯控制电路是一个用于控制汽车尾灯点亮和熄灭的电路系统。
它是汽车电气系统中非常重要的一部分,其功能是在行驶过程中向后方其他车辆和行人传达车辆运行状态,确保行车安全。
汽车尾灯控制电路通常由以下几个部分组成:电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地。
电源电路是尾灯控制电路的起点,它通常由汽车电瓶供电。
电源电路还需要一个主电力开关,它通过电气连接或断开来控制整个电路系统的通断。
接下来,控制开关是尾灯控制电路的核心部分。
它通常是通过扭动、按压或翻转等方式操作的机械或电子开关。
控制开关的位置和状态决定了尾灯是否点亮。
控制开关与继电器相连。
继电器是一个电磁开关,它由控制开关的信号来控制开关通断。
当控制开关处于尾灯点亮位置时,继电器将触点闭合,将电流送到尾灯灯泡,灯泡会发出红光。
当控制开关处于尾灯熄灭位置时,继电器将触点断开,切断电流供给,灯泡熄灭。
为了防止电路短路和过载,汽车尾灯控制电路还需要添加适当的保险丝。
保险丝是一种保护装置,当电路中出现异常电流或过载时,它会断开电路,阻止电流继续通过,从而保护电气系统的安全运行。
电路线束是指将各个部分连接在一起的电线系统。
它们常常由抗氧化、耐高温和防火的材料制成,以确保线路的稳定性和持久性。
尾灯灯泡是尾灯控制电路中最终发光的部分。
它通常由一个或多个独立的灯泡组成,灯泡中的电流通过导电材料,使其内部的发光材料发光。
灯泡的数量、类型和功率会根据车型和规格的不同而有所不同。
最后,接地是汽车电气系统中一个至关重要的部分,尤其是在尾灯控制电路中。
接地可以将电流回路关闭到地面上,确保电流的正常流动。
接地还可以防止电路中的电压过高,从而保护电气设备的安全使用。
总之,汽车尾灯控制电路是一种复杂的电气系统,由多个组件和连接方式组成。
它通过电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地等部分协同工作,实现了汽车尾灯的可靠控制。
汽车尾灯的正常运行对于行车安全、交通规范和其他交通参与方的安全意识起着重要作用。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
电子技术课程设计汽车尾灯控制电路
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
基于单片机.汽车尾灯的控制
word完美格式目录绪论 (1)1、设计系统的介绍及研究内容 (2)1.1.1设计软件PROTUSE的介绍 (2)1.2 研究的内容 (2)2、设计方案规划及设计 (3)2.1系统设计框图 (3)2.2整体电路工作原理 (4)2.3汽车尾灯工作流程图 (4)2.4 仿真原理图的设计 (5)3.主程序 (6)4仿真 (7)4.1.1使用的仿真软件 (7)4.1.2在仿真软件中完整的电路图 (7)5、主要元件清单 (9)6、结论 (10)7、参考文献 (10)绪论汽车作为现代交通工具已经大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也从过去的全人工开关控制发展到智能化控制。
在夜晚或者天气原因能见度不高的时候,人们对汽车安全行驶要求很高。
汽车尾灯控制系统给人们带来了方便。
汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处理器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更待电路的功能和性能,而且可靠性的不到保障,因此对汽车尾灯控制系统的发展带来一定的局限性。
难以满足现代汽车的智能化发展。
随着仿真技术的发展,数学系统的设计技术和设计工具发生了深刻的变化。
利用硬件描述语言对数学系统的硬件电路进行描述是仿真书的关键技术之一。
本文采用单片机技术,利用PROTUSE工作平台,设计一种基于单品阿基的汽车尾灯控制系统,并对系统经行仿真及验证。
用一片单片机芯片实现从而大大简化了系统结构,降低了成本。
提高了系统的先进性和可靠性,能实现控制器的系统编程。
采用这种期间开发的数字系统其升级与改进极为方便。
LED由于其具有体积小、寿命长、低能耗、耐震动、无频闪及反应速度快的等优点,已成为备受关注的新一代车灯光源技术。
目前通用的骑车车尾灯瓜园任然是白炽灯和节能灯占占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路佳通带来安全隐患等。
汽车尾灯显示控制电路设计
课程设计任务书题目: 汽车尾灯显示控制电路设计初始条件:汽车尾灯控制电路由四部分组成,控制电路、时钟发生电路、逻辑开关及逻辑电平指示。
(1)转弯信号是四状态计数电路,可由小规模触发器构成,也可由中规模计数器构成。
(2)时钟产生电路,可由555定时器构成1Hz信号和50Hz信号(用于停车时,尾灯亮度为正常一半)。
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。
右转弯时三个右边的灯应动作,左边的灯则全灭,右边的灯周期性明亮与暗,一周约需一秒,对于左转弯,左边灯的操作应相类似;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗。
同时,电路还用一个开关模拟脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮,在转弯的情况下,三个转向的尾灯应正常动作,另三个尾灯连续亮。
另一个开关模拟停车,停车时,全部尾灯亮度为正常的一半。
时间安排:第17周(7、8节):理论讲解,新1-02第18~19周:理论设计及实验室安装调试;地点:鉴主15通信工程实验室(1),鉴主13通信工程专业实验室;第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。
指导教师签名:2008年月日系主任(或责任教师)签名:年月日目录1设计任务及要求 (4)2 设计电路框图 (5)3各部分电路设计过程 (6)3.1秒脉冲电路的设计 (6)3.2开关控制电路的设计 (7)3.3三进制计数器电路的设计 (9)3.4译码与显示驱动电路的设计 (11)3.5 尾灯状态显示电路的设计 (12)4 电路总图 (13)4.1汽车尾灯控制器电路的工作原理 (13)4.2参数计算与器件选择 (13)5元器件清单 (14)6仿真及结果分析 (16)7小结与体会 (18)8 参考文献 (19)摘要本课题设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。
汽车尾灯控制电路设计
汽车尾灯控制电路设计【摘要】:本次设计的题目是汽车尾灯控制电路,汽车尾灯控制电路使得汽车的行驶更加有秩序,更加方便操作。
设计汽车尾灯,左右两侧各有3个指示灯(用发光二极管模拟)由外部开关电路控制汽车尾灯;汽车正常运行时指示灯全灭;右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时,左侧三个指示灯按左循环顺序点亮;临时刹车时左右指示灯同时闪烁。
【关键字】尾灯、定时器、触发器汽车现如今已成为人们的代步工具,随着电子技术的快速发展,对汽车的控制从以前的全人工开关控制发展到了智能化控制,人们对汽车的安全行驶要求很高,汽车尾灯系统给我们带来了方便。
所以对具有安全提示作用的汽车尾灯的设计是非常必要的。
一、技术指标正常工作时,指示灯全灭;左右转弯时,指示灯循环点亮;刹车时,指示灯同时闪烁。
本设计由发光二极管代替尾灯的左右各三个灯泡进行显示。
这样显示更直接更明显。
二、总体方案本电路由时钟产生电路、左右转控制电路、刹车控制电路组成,其中控制开关只有两个,控制电路由两JK触发器构成,结构简单。
脉冲输入信号由555定时器产生,产生频率1Hz左右的脉冲信号。
该脉冲信号作为刹车时的输入信号,控制尾灯的闪烁;左转右转控制电路控制汽车尾灯按照左循环或右循环的顺序依次点亮;刹车控制电路控制尾灯的闪烁。
三、单元电路设计由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系即逻辑功能表如下:(D6-D1中0表灯灭,1表灯亮)汽车尾灯显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8线译码器74LS138和6个与非门构成。
74LS138的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。
当S1=0、使能信号A=G=1,计数器的状态为00、01、10时,74LS138对应得输出端依次为0有效,故指示灯D1、D2、D3按顺序点亮示意汽车右转弯,若上述条件不变,而S1=1,则74LS138对应的输出依次为0有效,故指示灯D4、D5、D6按顺序点亮,示意汽车左转弯,当G=0,A=1时74LS138的输出端全为1 ,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
汽车尾灯的控制电路
汽车尾灯的控制电路汽车尾灯是车辆行驶时非常重要的安全装置,它能够在夜间或恶劣天气条件下提供车辆的可见性,从而减少交通事故的发生。
而汽车尾灯的控制电路则是实现尾灯功能的关键部分。
在本文中,我们将深入探讨汽车尾灯的控制电路的工作原理和设计特点。
汽车尾灯的控制电路通常由多个部分组成,包括开关、继电器、电路保护装置和灯泡。
当驾驶员打开车辆的灯光开关时,电路将闭合,导通电流。
这时,继电器将被激活,使得电流能够流向尾灯。
同时,电路保护装置会监控电流的大小,以确保电路不会因为过载而损坏。
最后,灯泡会受到电流的激活,发出光亮。
在设计汽车尾灯的控制电路时,需要考虑到几个关键因素。
首先,电路的稳定性和可靠性是至关重要的,因为汽车在行驶过程中会受到各种振动和冲击。
因此,电路的连接部分需要采用可靠的焊接或连接方式,以确保在恶劣的路况下也能正常工作。
其次,电路的保护装置需要能够及时检测并切断过载电流,以保护电路和灯泡不受损坏。
最后,为了节约能源和延长灯泡的使用寿命,电路还需要考虑到功耗和散热的问题。
在实际应用中,汽车尾灯的控制电路还需要满足一些特殊的需求。
例如,一些车辆可能会配备自动开关的功能,它能够根据车辆的行驶状态和周围环境的亮度自动调节尾灯的亮度。
这就需要在电路中加入光敏电阻或其他传感器,以实现自动控制功能。
此外,一些高端车型还可能会配备闪烁功能,使得尾灯在刹车时能够闪烁提醒后车驾驶员,这就需要在电路中加入闪烁控制器和相关传感器。
总的来说,汽车尾灯的控制电路是汽车安全系统中不可或缺的一部分,它能够在夜间或恶劣天气条件下提供良好的可见性,从而减少交通事故的发生。
在设计和制造汽车尾灯的控制电路时,需要考虑到电路的稳定性、可靠性和节能性等因素,同时还需要根据车辆的特殊需求进行定制。
随着汽车技术的不断发展,相信汽车尾灯的控制电路也会不断得到改进和完善,为驾驶员和行人的安全提供更好的保障。
《课程设计-汽车尾灯控制器的电路设计》精选全文
可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。
2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。
②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。
二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。
设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。
表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。
整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。
所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。
此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。
方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。
555定时器的管脚图如图2所示。
由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
基于EDA技术的汽车尾灯控制电路设计精选全文
可编辑修改精选全文完整版汽车尾灯控制电路一、设计任务(一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。
根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。
4、倒车时播放语音警告5、设置两个可控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。
开关置为01状态时,表示汽车处于右转弯状态。
开关置为10状态时,表示汽车处于左转弯状态。
开关置为11状态时,表示汽车处于刹车状态。
另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。
(二)汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP 可编程逻辑芯片。
大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。
要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。
二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。
系统原理框图如下:1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。
2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
汽车尾灯控制摘要1879年,爱迪生发明了以电能为能源的真空白炽灯泡。
1886年汽车问世之初,老爷车在夜间行驶时悬挂的是摇晃不定的汽灯。
在汽车逐渐增加、车速也不断提高的情况下,汽车不能再靠一盏汽灯行驶。
于是依托新兴的白炽灯为汽车设计行车照明灯,并给汽车配备了车载小型发电机,这为汽车电气照明的发展奠定了基础。
在车辆不断增多、车速不断提高的情况下,各种尾灯如车灯、制动灯、转向灯、后雾灯等一系列灯种相继问世,为避免夜晚行车的追尾和转弯时相撞起了关键作用。
在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。
汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。
难以满足现代汽车的智能化发展,本文设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。
能实现控制器的在系统编程。
采用这种器件开发的数字系统其升级与改进极为方便。
关键字:引言随着汽车的越来越多,安全性日趋成为焦点,本文是通过运用已学的知识,对汽车尾灯进行设计,通过STC89C52单片机编写程序,来控制汽车尾灯LED的亮灭。
目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。
现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。
现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。
一、电路原理利用stc89c52单片机设计汽车尾灯,控制led亮灭来模拟左右转向,刹车。
设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(D1-D3)3个发光二极管。
用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
当右转弯开关被打开时,右转弯尾灯显示的3个发光二极管按右循环显示。
当左转弯开关被打开时,左转弯尾灯显示的3个发光二极管按左循环显示。
当急刹车时,6个发光二极管闪烁。
(如表1.1)表1.1 汽车尾灯和汽车运行状态表二、电路性能分析电路结构:电路是由:一块at89c52芯片,复位电路,时钟电路,按键电路,led显示电路所构成的。
图为at89c52的引脚图,分为:Vcc(40脚),GND(20脚)—单片机电源引脚,不同型号单片机接入对应电压电源,常压为+5V,低压为+3.3V。
XTAL1(19脚),XTAL2(18脚)—外接时钟引脚。
XTAL1为片内振荡电路的输入端,XTAL2为片内振荡电路的输出端。
RST(9脚)—单片机的复位脚。
PSEN(29脚)—全称是程序存储器允许控制端。
ALE/PROG(30脚)—在单片机扩展外部RAM时,ALE用于控制把P0口的输出低8位地址送锁存器锁存起来,以实现地位地址和数据的隔离。
EA/Vpp(31脚)—EA接高电平时,单片机读取内部程序存储器[6]。
I/O口引脚—P0口,P1口,P2口,P3口。
1、P0口(39脚—32脚)—双向8位三态I/O口,每个口可独立控制。
2、P1口(1脚—8脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,这种接口输入没有高阻状态,输入也不能锁存。
3、P2口(21脚—28脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,与P1口相似。
4、P3口(10脚—17脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,并且具有第二功能。
时钟电路,由一个12Mhz的晶振和两个小于30pf的电容构成,为单片机提供基本时钟。
复位电路:将单片机内部各电路的状态恢复到初始值。
复位条件:在RST(第9引脚)加上持续两个机器周期(即24个脉冲振荡周期)以上的高电平。
时钟中的晶振为12Mhz,每个机器周期为1us,则需加上持续2us以上的时间。
程序设计:#define time 1000void delay(unsigned int z) //延时程序,for循环一直减到0{unsigned int x;unsigned char y;for(x=z;x>0;x--)for(y=110;y>0;y--);}void keyscan() // 键盘扫描程序,,先判断按键按下去没有,哪个{ // 按下去了,然后根据那个按键按下去的情况,unsigned char temp,temp1,temp2,i,temp3; //进行算法分析和计算,通过给与LED的管脚temp1=P1; // 赋值高低电平来控制灯亮灭情况temp1=temp1&0x07;if(temp1!=0x07){delay(10);temp2=P1;temp2=temp2&0x07;if(temp1==temp2){switch(temp1){case 0x06: //右转向灯{temp=0xfe;for(i=1;i<=3;i++){delay(time);P2=temp;temp=temp-i;if(temp==0xf8)temp=0xfe;if(i==3)i=0;temp3=P1;temp3=temp3&0x07;if(temp3==0x07){P2=0xff;i=4;}}if(i==5)break;}case 0x05: //左转向灯{temp=0xdf;for(i=1;i<=3;i++){delay(1000);P2=temp;temp=temp+(16/i);if(temp==0xfc)temp=0xdf;if(i==3)i=0;temp3=P1;temp3=temp3&0x07;if(temp3==0x07){P2=0xff;i=4;}}if(i==5)break;}case 0x03: //刹车{P2=0xc0;delay(time);P2=0xff;delay(time);temp3=P1;temp3=temp3&0x07;if(temp3==0x07)break;}default:P2=0xff;break;}}}}void main() // 先初始化,P2脚全为高电平,while(1)无限循环,{ // 开始一直进行键盘扫描P2=0xff;while(1){keyscan();}}程序流程:1、初始化,让单片机的P2口的所有IO管脚全部为高电平2、进行键盘扫描:A、判断P1口的低三位哪一个管脚为低电平B、如果全是高电平,说明没有按键按下C、如果不是全为高电平,说明有一个按键按下去D、根据P1口低三位哪一位的电平为低电平来判断是哪个按键按下去的E、如果是右循环按键按下去了,通过赋值与计算后的再赋值,让P2口对应的右边的3个LED灯按右循环依次点亮。
F、如果是左循环按键按下去了,通过赋值与计算后的再赋值,让P2口对应的左边的3个LED灯按左循环依次点亮。
G、如果是急刹车按键按下去了,通过赋值与计算后的再赋值,让P2口对应6个LED 灯闪烁。
调试:将编好的程序载入Proteus里的at89c52里,运行。
当没有按下任何键,led灯全灭,模拟汽车行驶,如图(1);当按下sw-3时,led工作,灯泡从sw-3向左亮至sw-1,模拟汽车左转弯,如图(2);当按下sw-2时,led工作,灯泡从sw-4向右亮至sw-6,模拟汽车右转弯,如图(3);当按下sw-1时,led工作,灯泡全亮,模拟汽车刹车,如图(4);图(1):图(2):图(3):图(4):三、我的创新点通过编写好的程序,由STC89C52单片机来控制LED的亮灭。
这种方案中单片机编程较直接,用硬件电路搭建方便,这样可以比如用那些三极管、二极管、译码器,与非门等来实现,大大简化系统结构,降低材料的成本。
利用单片机技术提高系统的先进性和可靠性,且超强抗干扰,高抗静电,宽电压,超低功耗,能实现控制器的系统编程,在汽车这种复杂的环境下,亦不怕电源抖动。
采用这种器件开发的数字系统其升级与改进极为方便。
这次尾灯将普通的白炽灯换成了led,原因是led环保,节能,是一种新型材料,并且比白炽灯和节能灯寿命长,响应时间短。
让其成为一种真正的节能、高效的新型组合式LED汽车尾灯。
四、电路改进后的功效分析本文利用at89c52芯片进行内部操作,来控制led的点亮。
其电路简单,且功能和性能好,可靠性高。
特别是这次的led,使用透明聚碳酸酯或有机玻璃的滤光罩的话,其透光率达92%~95%,在实际情况中假设车辆速度为125km/h(即35m/s),led可提前约8m发出制动警报,可有效避免汽车相撞。
可见led的响应速度比白炽灯和节能灯要快。
准确且稳定。
其电路只要加上led的开关恒流驱动电路,可以控制led亮度的电路(见附录图5),就可以在汽车上可以广泛应用,如仪表盘的背光或开门灯等。
五、结束语这次设计的是简易汽车尾灯,实现较简单的逻辑功能。
通过这几天学习,让我学到了许多,特别是在网上和图书馆里找资料,学习相关的理论知识,让我对电子方面(特别是led)学到了许多有用是常识和知识,并且这次的设计让我对Protel、proteus、keil 等一些软件更加熟悉了。
虽然这次的设计有许多的毛病,也不是很全面,但也基本完成了汽车尾灯的控制,自己也觉得收获颇丰。
参考文献[1][日]led照明推进协会《led照明设计与应用》科学出版社[2]周志敏纪爱华《led照明技术与工程应用》中国电力出版社[3]王静霞《单片机应用技术(C语言版)》电力工业出版社[4]彭介华《电子技术课程设计指导》北京:高等教育出版社附录通过控制电路低压端来调节led亮度电路(图5)。