实验二 组合逻辑电路的设计

合集下载

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告实验二利用MSI设计组合逻辑电路姓名:学号:班级:院系:指导老师:2016年目录实验目的: .............................................................. 错误!未定义书签。

实验器件与仪器: .................................................. 错误!未定义书签。

实验原理: .............................................................. 错误!未定义书签。

实验内容: .............................................................. 错误!未定义书签。

实验过程: .............................................................. 错误!未定义书签。

实验总结: .............................................................. 错误!未定义书签。

实验:实验目的:1.熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。

2.掌握用MSI设计的组合逻辑电路的方法。

实验器件与仪器:1.数字电路实验箱、数字万用表、示波器。

2.虚拟器件:74LS00,74LS197,74LS138,74LS151实验原理:中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。

1.用译码器实现组合逻辑电路译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。

如3线-8线译码器。

当附加控制门Gs的输入为高电平(S = 1)的时候,可由逻辑图写出。

从上式可看出。

-同时又是S2、S1、S0这三个变量的全部最小项的译码输出。

组合逻辑电路功能分析

组合逻辑电路功能分析

组合逻辑电路功能分析实验二组合逻辑电路功能分析与设计一、实验目的:1、了解组合逻辑电路的特点;2、掌握组合逻辑电路功能的分析方法;3、学会组合逻辑电路的连接方法;4、掌握组合逻辑电路的设计方法。

二、实验原理:1、组合逻辑电路的特点:组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。

2、组合逻辑电路的分析方法:a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。

b化简:利用公式法和图行法进行化简,得出最简的函数表达式。

c列真值表:根据最简函数表达式列出函数真值表。

d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。

3、组合逻辑电路的设计步骤:a根据设计的要求列出真值表。

B根据真值表写出函数表达式。

C化简函数表达式或做适当的形式转换。

D画出逻辑电路图。

三、实验器件集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容:(一)、组合逻辑电路功能分析分析图4-1所示电路的逻辑功能:当电路A,B都输入0或1时,Y值输出为1;当电路A,B输入为不一样的值时,Y值输出为0.1图4-1(二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。

)1、设计一个举重裁判表决器。

设举重比赛有三个裁判,一个主裁判和两个副裁判。

杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。

只有当两个或两个以上裁判(其中Array必须有主裁判)判明成功时,表示“成功”的灯才亮。

(要求用与非门实现)设输入变量:主裁判为A,副裁判分别为B,C,按下按钮为1,不按为0;输出变量:表示成功与否用Y表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。

Y=AB==*AC==1 0 1 11 1 0 01 1 1 12、某设备有开关A、B、C,要求仅在开关A接通的条件下,开关B才能接通;开关C仅在开关B接通的条件下才能接通。

实验2 组合逻辑电路的设计

实验2  组合逻辑电路的设计

4. 实验内容及要求 (1) 用与非门设计实现异或逻辑功能。 a) 按照组合逻辑电路的设计方法, 列出两输入异或逻辑函数的真值表, 写出最简 与或式、与非-与非式,画出与非门实现的逻辑电路图。 b) 使用集成电路芯片 74LS10 和 74LS20 中的与非门, 按照所设计的逻辑电路图连 接电路。 c) 选择使用数字电路实验装置中的逻辑电平输入开关和逻辑电平输出 LED 指示 灯,设计实验测试方案。 d) 记录并分析实验数据参考表 2-2, 说明所设计的电路是否实现预计的异或逻辑 功能。
以二值逻辑的 0、1 两种状态分别代表输入变量和输出变量的两种不同状态。这里 0 和 1 的具体含意完全是由设计者人为选定的。
3) 根据给定的因果关系列出逻辑真值表。 举例: “大月指示器”的逻辑功能如下:输入一年中的具体月份,电路能自动判别出“大月” 还是“小月” (大月有 31 天) 。 通过分析,逻辑抽象结果为:月份输入 ABCD 可以由 4 位二进制代码表示,例如 ABCD=0001 表示输入月份为 1 月, ABCD=0010 表示 2 月, ABCD=0011 表示 3 月,...... , ABCD=1100 表示 12 月;输出 Y 的逻辑值 1 或 0 分别表示信息“大月”或“小月” ,Y=1 表 示大月,Y=0 表示小月。列出真值表如表 2-1 所示。
得到最简与或式为选定器件的类型实际逻辑问题逻辑抽象逻辑函数化简变换表达画出逻辑连接电路实现为了实现最终的逻辑函数既可以用小规模集成门电路组成相应的逻辑电路也可以用中规模集成的常用组合逻辑器件或可编程逻辑器件等构成相应的逻辑电路
实验二 组合逻辑电路的设计
1. 实验目的 (1)熟练使用数字电路实验装置设计实验方案; (2)掌握用基本门电路实现组合电路的设计方法。 (3)掌握实现组合逻辑电路的连接及调试方法。 2. 实验仪器与材料 (1)数字电路实验装置 1 台; (2)双列直插集成电路芯片 74LS10、74LS20 各 1 片,导线若干。 3 . 知识要点 (一)组合逻辑电路的设计方法

组合逻辑电路的设计实验报告

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告篇一:数电实验报告实验二组合逻辑电路的设计实验二组合逻辑电路的设计一、实验目的1.掌握组合逻辑电路的设计方法及功能测试方法。

2.熟悉组合电路的特点。

二、实验仪器及材料a)TDs-4数电实验箱、双踪示波器、数字万用表。

b)参考元件:74Ls86、74Ls00。

三、预习要求及思考题1.预习要求:1)所用中规模集成组件的功能、外部引线排列及使用方法。

2)组合逻辑电路的功能特点和结构特点.3)中规模集成组件一般分析及设计方法.4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题在进行组合逻辑电路设计时,什么是最佳设计方案?四、实验原理1.本实验所用到的集成电路的引脚功能图见附录2.用集成电路进行组合逻辑电路设计的一般步骤是:1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表;2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式;3)画出逻辑图;4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。

五、实验内容1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。

1)列出真值表,如下表2-1。

其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。

2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。

按图选择需要的集成块及门电路连线,将Ai、bi、ci接逻辑开关,输出si、ci+1接发光二极管。

改变输入信号的状态验证真值表。

2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(b),一枪打兔子(c)。

实验二 组合逻辑电路实验

实验二 组合逻辑电路实验

实验二组合逻辑电路实验一、实验概述本章有两个实验,一个是组合逻辑电路分析,需学生自己分析电路功能;另一个是监视交通信号灯工作状态的组合逻辑电路,每一组信号灯都有红、黄、绿三盏灯组成,正常工作时,任何时刻必须有一盏灯点亮,且只允许有一盏灯点亮,否则电路发生故障,产生故障信号提醒维修人员进行及时修理。

二、实验目的1、熟悉组合逻辑电路的特点。

2、掌握组合逻辑电路的分析、设计方法及功能测试方法。

三、实验预习要求1、预习所用到的中规模集成芯片的功能、引脚排列及使用方法2、预习组合逻辑电路的功能特点和结构特点3、预习组合逻辑电路的一般分析及设计方法4、用Proteus软件对实验进行仿真并分析实验是否成功。

四、实验原理1、组合逻辑电路的分析分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。

分析的步骤:(1)逐级写出逻辑函数表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。

(2)化简。

(3)列出真值表。

(4)文字说明上述四个步骤不是一成不变的。

除第一步外,其它三步根据实际情况的要求而采用。

根据以上的步骤分析图2.4-1实现的逻辑功能图2.4-1(1)、写出逻辑函数表达式并化简为最简逻辑函数。

(2)、自己拟定逻辑真值表并填写相应的逻辑值。

(3)、用文字表述逻辑图实现的逻辑功能,在实验箱上验证其得出的结论。

(4)、A、B、C端口接拨动开关,Y0、Y1接逻辑电平LED说明:实验时都需要给芯片接电源,即芯片上VCC引脚接+5V,GND引脚接地(GND)。

2、组合逻辑电路的设计设计的任务是:由给定的功能要求,设计出相应的逻辑电路。

设计的步骤;(1)通过对给定问题的分析,获得真值表。

在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化真值表。

(2)通过化简得出最简与或式。

实验二 组合逻辑电路功能分析与设计

实验二 组合逻辑电路功能分析与设计

实验二组合逻辑电路功能分析与设计一、实验目的:1、了解组合逻辑电路的特点;2、掌握组合逻辑电路功能的分析方法;3、学会组合逻辑电路的连接方法;4、掌握组合逻辑电路的设计方法。

二、实验原理:1、组合逻辑电路的特点:组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。

2、组合逻辑电路的分析方法:a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。

b化简:利用公式法和图行法进行化简,得出最简的函数表达式。

c列真值表:根据最简函数表达式列出函数真值表。

d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。

3、组合逻辑电路的设计步骤:a根据设计的要求列出真值表。

B根据真值表写出函数表达式。

C化简函数表达式或做适当的形式转换。

D画出逻辑电路图。

三、实验器件集成块:74LS00、74LS04、74LS08、74LS32四、实验内容:(一)、组合逻辑电路功能分析当电路A,B都输入0或1时,Y值输出为1;当电路A,B输入为不一样的值时,Y值输出为0.1图4-1(二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。

)1、设计一个举重裁判表决器。

设举重比赛有三个裁判,一个主裁判和两个副裁判。

杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。

只有当两个或两个以上裁判(其中必须有主裁判)判明成功时,表示“成功”的灯才亮。

(要求用与非门实现)设输入变量:主裁判为A ,副裁判分别为B ,C ,按下按钮为1,不按为0;输出变量:表示成功与否用Y 表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。

Y=AB ==*AC ==2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。

违反这一规程,则发出报警信号。

设计一个由与非门组成的能实现这一功能的报警控制电路。

实验2-CMOS组合逻辑电路设计

实验2-CMOS组合逻辑电路设计
Cout
数字集成电路-实验2:VTC仿真
反相器:r=3
nand2
Ln=Lp /um
Wn /um
Wp /um
Ln=Lp /um
Wn /um
Wp A=B= /um 0->1
0.8
1*L
0.8
1
2*L
1
1.5
3*L
1.5
2
4*L
2
2.5
5*L
2.5
Vth
A=1, B=0->1
B=1, A=0->1
2பைடு நூலகம்
nand2 输入数据模式与延时之间的关系
数字集成电路-实验2:延时仿真
Ln=Lp /um 0.8
1 1.5 2 2.5
tpHL(ps)
Wn A=B=0- A=1,
/um
>1
B=0->1
1*L
B=1, A=0->1
2*L
3*L
4*L
5*L
A=B=1>0
tpLH (ps)
A=1, B=1->0
B=1, A=0->1
3
组合逻辑传输链的最小延时和尺寸优化
3、根据负载电容和第2级第3级门的特性,设 计X和Y的值,让整个组合逻辑链的延时最小。
已知:第一级反相器尺寸为:
WP/LP=?/?; WN/LN=?/?;
r=3
Vin(V) 2.5
cgn (fF) cgp (fF) C1(fF)
第1级inv的输入电容C1:
C1 (1 r) 1 Cgn 4Cgn
tpLH (ps)
1
1
第2级单个nand2的输入电容C2:
第3级单个nor2的输入电容C3:

实验二 利用MSI设计组合逻辑电路

实验二 利用MSI设计组合逻辑电路
A
B
Cn
S
Cn+1
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
1
0
0
1
0
1
0
1
0
1
1
1
0
0
1
1
1
1
1
1
图(二)74LS138实现全加器逻图
2.用数据选择器实现组合逻辑电路
数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。如图(三)为双四选一数据选择器74LS153逻辑图。Y1和Y2为两个独立的输出端,S1和S2为附加控制端用于控制电路工作状态和扩展功能。 A1、A0为地址输入端。D10. D11. D12. D13或D20. D21. D22、D23为数据输入端。通过选定不同的地址代码即可从4个数据输入端选出要的一个,并送到输出端Y。输出逻辑式可写成:
实验二
一、实验目的:
1.熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。
2.掌握用MSI设计的组合逻辑电路的方法。
二、实验仪器及器件:
1.数字电路实验箱、数字万用表、示波器。
2.器件:74LS00X1,74LS197X1,74LS138X1,74LS151X1

中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。
例如用3线-8线译码器74LS138实现全加器。列出真值表如表(一)所示。A、B是加数与被加数,Cn是低位向本位的进位,S为本位和,Cn+1位是本位向高位的进位。由真值表可得全加器的最小项之和表达式。

实验二组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试

解: a.设主裁判为变量A,副裁判分别为B和C;
进行逻 1 辑抽象
表示成功与否的灯为Y。 b.裁判同意成功设为1,不同意为0; 灯亮为成功,用1表示,否则用0表示。
c.根据逻辑要求列出真值表:
ABC
Y
ABC
Y
000
0
100
0
001
0
101
1
010
0
110
1
011
0
111
1
逻辑要求:只有当两个或两个以上裁判判明成 功(1),并且其中有一个为主裁判(A)时, 表明成功的灯才亮(1)。
根据逻辑要求列出真值表:
ABC
Y
ABC
Y
000
0
100
0
001
0
101
1
010
0
110
1
011
0
111
1
2 写出逻辑表达式
2
Y m5 m6 m7 ABC ABC ABC
Y m5 m6 m7 ABC ABC ABC
3 化简或变换
AB
AB
C 00 01 11 10
00 0 1 0
2、用与非门设计一个楼上、楼下开关的控制电路并进行测试。
(与用异或门74LS86实现——选做)
设计1 :用与非门设计一个举重裁判表决电路。设举重比赛有3个
裁判,一个主裁判和两个副裁判。杠铃完全举上 的裁决由每一个裁判按一下自己面前的按钮来确 定。只有当两个或两个以上裁判判明成功,并且 其中有一个为主裁判时,表明成功的灯才亮。
设计2:
设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上 的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用 楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯, 下楼后,用楼下开关关灭电灯。

实验二 组合逻辑电路实验报告

实验二 组合逻辑电路实验报告

天水师范学院TIANSHUI NORMAL UNIVERSITY《数字电路综合设计》实验报告名称:数字电路综合设计学院:电子信息与电气工程学院专业:电气工程及其自动化班级:17电气一班姓名:学号:天水师范学院电子信息与电气工程学院班级 17级电气(1)班姓名学号 201710901 实验名称:组合逻辑电路实验分析与设计实验目的:1、掌握组合逻辑电路的分析方法与测试方法。

2、能用指定芯片完成组合逻辑电路的设计。

3、用实验验证所设计的逻辑电路的逻辑功能。

4、熟悉各种集成门电路及正确使用集成门电路。

实验仪器、材料及软件:74LS00芯片、实验箱天水师范学院电子信息与电气工程学院实验报告班级 17级电气(1)班姓名学号 201710901 实验原理:1、组合电路是最常见的逻辑电路,可以用一些常用的门电路来组合成具有其它功能的门电路。

2、合电路的分析是根据所给的逻辑电路,写出其输入与输出之间的数表达式或真值表,从而确定该电路的逻辑功能。

3、组合电路设计过程是在理想情况下进行的,即假设一切器件均没有延迉效应,但实际上并非如此,信号通过任何导线或器件都需要一断响应时间,由于制造工艺上的原因,各器件延迟时间的离散性很大,这就有可能在一个组合电路中,在输入信号发生变化时,有可能产生错误的输出。

这种输出出现瞬时错误的现象称为组合电路的冒险现象(简称险象)。

天水师范学院电子信息与电气工程学院实验报告班级 17级电气(1)班姓名学号 201710901 实验内容及步骤:1、分析、测试用与非门74LS00组成的半加器的逻辑功能图3-1由与非门组成的半加器电路(1) 写出图3-1的逻辑表达式(2) 根据表达式列出真值表(3) 根据图3-1,A、B两输入接至逻辑开关的输出插口。

S、C分别接至逻辑电平显示输入插口。

按下表的要求进行逻辑状态的测试,并将结果填入表中,同时与上面真值表进行比较,两者是否一致。

2、分析、测试用异或门74LS86和与非门74LS00组成的半加器逻辑电路。

实验二 组合逻辑电路

实验二  组合逻辑电路

实验二组合逻辑电路一、实验目的1.掌握数据选择器的功能和应用方法;2.掌握显示译码器的功能和使用方法;3.掌握组合数字电路的设计和实现方法。

二、预习要求1.复习译码器和数据选择器的工作原理;2.复习有关组合电路设计方法的知识;3.阅读74LS138和74LS151的引脚排列图及功能表;4. 设计实验内容所要求的数据记录表格。

三、理论准备1.概述组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。

因此,组合电路的特点是无“记忆性”。

在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。

所以各种功能的门电路就是简单组合逻辑电路。

组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。

组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计基本方法之一。

2.组合逻辑电路的分析方法分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。

分析的步骤:(1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。

(2)化简。

(3)列出真值表。

(4)文字说明上述四个步骤不是一成不变的。

除第一步外,其它三步根据实际情况的要求而采用。

3.组合逻辑电路的设计方法设计的任务是:使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,由给定的功能要求,设计出相应的逻辑电路。

设计的一般步骤如图3-1所示:根据设计任务的要求建立输入、输出变量,并列出真值表。

然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。

最后,用实验来验证设计的正确性。

需要注意的是,在使用中规模集成的组合逻辑电路设计时,需要把函数式变换成适当的形式(而不一定是最简式)。

实验二组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计

一.实验目的1.掌握小规模(SSI)组合逻辑电路的分析与设计方法。

2.熟悉常用中规模(MSI)组合逻辑部件的功能及其应用。

*3.观察组合电路的竞争-冒险现象,了解消除冒险现象的方法。

二.实验设备与器件双踪示波器:DS1062C 函数信号发生器:SG1651数字实验箱:THD-4 数字万用表:MS8222D实验器件:74LS00、74LS02、74LS20、74LS54、74LS83、74LS86、74LS138、74LS151三.实验内容(一) 组合逻辑电路的分析1.分析图16-1所示“一位数值比较器”电路的逻辑功能,说明其逻辑关系与实际意义,并将验证测试结果填入表16-1。

表16-1输入输出A B F 1 F 2 F 30 00 11 01 1*2.分析图16-2所示“四位二进制原码/反码转换”电路的逻辑功能,按照表16-2选取其中一位作出分析,并记录测试结果。

表16-2控制输入输出K A i Y i0 0 11 0 13.分析图16-3采用MSI芯片(3-8译码器)构成的组合逻辑电路,正确连接各引脚并供电,然后测试电路功能,结果填入表16-3。

表16-3输入输出A B C F0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1注:当、时,译码器输入输出逻辑关系为:m i 系A 2 A 1 A 0 的最小项(参见附录Ⅳ中74LS138真值表)。

*4.分析图16-4“8421BCD码-8421余3码转换电路”的逻辑功能,将测试结果填入表16-4。

注:74LS83资料见附录Ⅳ。

表16-4输入输出A 3 A 2 A 1 A 0 S 3 S 2 S 1 S 00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1(二) 组合逻辑电路的设计与测试1.逻辑函数为:,试用一片74LS54(四组输入与或非门)设计其组合逻辑电路。

实验二++组合逻辑电路的设计和测试

实验二++组合逻辑电路的设计和测试
• 思索题: 6、与或非门中,当某一组与端不用时, 应怎样处理?
一、试验目旳
• 掌握组合逻辑电路旳设计与测 试措施
二、组合逻辑电路旳设计流程
三、试验设备与器件
• 1、电子技术试验箱 • 2、数字万用表 • 3、主要参照器件
74LS00×2、74LS20×3、 74LS86、74LS08、74LS51×2、 74LS32、74LS02 、74LS04
• 3、画出逻辑图
74LS00
• 用异或门、与门构成旳半加器 • 逻辑体现式:
• 逻辑图
74LS86 74LS08
• 二、全加器
• 1、列出全加器真值表
• 2、写出并化简体现式
• 3、画出逻辑图
74LS86 74LS08
74LS32
• 三、用试验验证上述电路旳逻辑功能
• 1、按设计旳逻辑电路图连线
• 2、按实际选用逻辑门旳类型,用逻辑代数和卡诺 图化简两种措施求出简化旳逻辑体现式
• 3、根据修改后旳体现式,画出用原则器件构成旳 逻辑电路图,并标注管脚号。
• 4、写出完整设计过程;熟练使用仿真软件,并进 行仿真(没学过仿真软件旳专业,能够不仿真)
• 思索题:5、怎样用最简朴旳措施验证与或非门旳 逻辑功能是否完好?
试验二、组合逻辑 电路旳设计及测试
• 试验内容:
• 1、设计用与非门及用 异或门、与门构成旳半加器(74LS00、 74LS86、74LS08)
• 2、设计一种一位全加器,要求用异或 门、与门及或门构成(74LS86、 74LS08、74LS32)
• 3、设计一位全加器,要求用与或非门 实现(74LS51)
四、试验内容
• 试验内容: • 1、设计用与非门,以及用异或门、与门构成

实验二-组合逻辑电路设计与实现-

实验二-组合逻辑电路设计与实现-
缺点。
思考题: 1. 采用74LS151八选一的数据选择器,重新设计实验内容2中的
②题 。 2. 通过具体的设计体验后,你认为组合逻辑电路设计的关键点 或关键步骤是什么?
13
输入
输出
s A1 A0
1×× 00 0 00 1 01 0 01 1
Q
0
D0
D1
D2
D3
7
实验二 组合逻辑电路设计与实现
(3)采用数据选择器实现逻辑函数 1)将双 4选1 数据
选择器 CT74LS153 扩 展成 8选1 数据选择器:
8
实验二 组合逻辑电路设计与实现
将双 4选1 数据选择器 CT74LS153 扩展成 8选1 数据选择器:
如使 F=1Y ,则令
A1A,A0=B
比较得:
V cc
+5V
B
16 15 14 13 12 11 10
9
V cc 2S A 0 2D 3 2D 2 2D 1 2D 0 Q
D0=0,D1=C,D2=C,D3=1
74LS153
1S A 1 1D 3 1D 2 1D 1 1D 0 Q G N D
12
345
9
实验二 组合逻辑电路设计与实现
2)用双4选1数据选择器 CT74LS153 实现逻辑函数
F A B C A B C AC B ABC 解: CT74LS153输出函数为:
1 Y A 1 A 0 1 D 0 A 1 AD 3
1
实验二 组合逻辑电路设计与实现
三. 实验原理
1、二进制译码器
如:2-4线译码器74LS139、 3-8线译码器74LS138 和 4-16线译码器74LS154。

实验二+组合逻辑电路设计1

实验二+组合逻辑电路设计1

实验五组合逻辑电路设计(此项实验为设计性实验)设计性综合实验要求:1.根据设计任务要求,从单元电路的设计开始选择设计方案。

根据设计要求和已知条件,计算出元件参数,并选择合适的元件,最后画出总电路图。

2.通过安装调试,实现设计中要求的全部功能。

3.写出完整的设计性综合实验报告,包括调试中出现异常现象的分析和讨论。

一、实验目的1. 掌握组合逻辑电路的设计方法。

2. 能够熟练的、合理的选用集成电路器件。

3.提高电路布局、布线及检查和排除故障的能力。

4.培养书写设计性综合实验报告的能力。

二、设计任务与要求1.设计一个一位半加器和全加器。

2.设计一个对两个两位无符号的二进制数M、N比较大小的电路(只要求设计出M>N的电路)。

3.对所设计电路进行连接、验证,并写出结果。

三、实验原理及参考电路组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。

组合逻辑电路设计的一般步骤如图5-1所示。

图5-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表,然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。

最后用实验来验证设计的正确性。

- 19 -- 20 -1.组合逻辑电路的设计过程用“与非”门设计一个表决电路。

当四个输入端中有三个或四个为“1”时,输出端才为“1”。

设计步骤:a.根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。

b.由卡诺图得出逻辑表达式,并简化成“与非”的形式Y =ABC +BCD +ACD +ABD =)′)′()′()′()′((ABC ACD BCD ABCc.根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。

表5-1D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 0 0 00 0 0 0 1 0 0 0 1 0 1 1 1表5-2d.用实验验证逻辑功能在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好所选集成块。

实验二--组合逻辑电路的设计与测试

实验二--组合逻辑电路的设计与测试

`实验二 组合逻辑电路的设计与测试一、实验目的1、 掌握组合逻辑电路的分析与设计方法。

2、 加深对基本门电路使用的理解。

二、实验原理1、 组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。

例如,根据与门的逻辑表达式Z= AB = 得知,可以用两个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。

2、 分析组合逻辑电路的一般步骤是:1) 由逻辑图写出各输出端的逻辑表达式; 2) ) 3) 化简和变换各逻辑表达式; 4) 列出真值表;4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。

3、 设计组合逻辑电路的一般步骤与上面相反,是:1) 根据任务的要求,列出真值表;2) 用卡诺图或代数化简法求出最简的逻辑表达式;3) 根据表达式,画出逻辑电路图,用标准器件构成电路; 4) 最后,用实验来验证设计的正确性。

4、—5、组合逻辑电路的设计举例1) 用“与非门”设计一个表决电路。

当四个输入端中有三个或四个“1”时,输出端才为“1”。

设计步骤:表2-1 表决电路的真值表B A表2-2 表决电路的卡诺图然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式:Z++=+ABCCDAABDBCD⋅=⋅ACDABCBCDABC⋅最后,画出用“与非门”构成的逻辑电路如图2-1所示::图2-1 表决电路原理图输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。

三、实验设备与器材1.数字逻辑电路实验箱。

2.数字逻辑电路实验箱扩展板。

3.数字万用表。

4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。

四、实验内容实验步骤1、完成组合逻辑电路的设计中的两个例子。

2、,3、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门来实现。

4、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。

实验二 组合逻辑电路分析与设计

实验二  组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计一、实验目的1.掌握组合逻辑电路的分析方法与测试方法;2.掌握组合逻辑电路的设计方法。

二、实验预习要求1.熟悉门电路工作原理及相应的逻辑表达式;2.熟悉数字集成电路的引脚位置及引脚用途;3.预习组合逻辑电路的分析与设计步骤。

三、实验原理通常, 逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。

电路在任何时刻, 输出状态只决定于同一时刻各输入状态的组合, 而与先前的状态无关的逻辑电路称为组合逻辑电路。

1.组合逻辑电路的分析过程, 一般分为如下三步进行:(1)由逻辑图写出输出端的逻辑表达式;(2)画出真值表;(3)根据对真值表进行分析, 确定电路功能。

2. 组合逻辑电路的一般设计过程为图实验2.1所示。

设计过程中, “最简”是指电路所用器件最少, 器件的种类最少, 而且器件之间的连线也最少。

图实验2.1 组合逻辑电路设计方框图四、实验仪器设备1. TPE -AD 实验箱(+5V 电源, 单脉冲源, 连续脉冲源, 逻辑电平开关, LED 显示, 面包板数码管等)1台;2. 四两输入集成与非门74LS00 2片;3. 四两输入集成异或门74LS86 1片;4. 两四输入集成与非门74LS20 2片。

五、实验内容及方法1. 分析、测试74LS00组成的半加器的逻辑功能。

(1)用74LS00组成半加器, 如图实验2.2所示电路, 写出逻辑表达式并化简, 验证逻辑关系。

ABC B A B A S i i =+=(2)列出真值表。

A B Si Ci 0 0 0 0 0 1 1 0 1 1 0 1 11(3)分析、测试用异或门74LS86与74LS00组成的半加器的逻辑功能, 自己画出电路, 将测试结果填入自拟表格中, 并验证逻辑关系。

图实验2.2 由与非门组成的半加器电路2. 分析、测试全加器电路, 设计用74LS86和74LS00组成全加器电路, 用异或门、与门和或门组成的全加器如图实验2.3所示, 将测试结果填于真值表内, 验证其逻辑关系。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验二组合逻辑电路的设计
一、实验目的
1.设计8段译码器、两路4位二进制比较器,并在实验装置上验证所设计的电路;2.学习用VHDL语句进行逻辑描述。

二、实验要求
用VHDL设计8段译码器、两路4位二进制比较器,对CPLD器件进行配置及下载来验证自己的设计,验证电路的外围器件可选用按键输入、指示灯输出。

三、设计方案
按键的状态作为输入,输出对应数字的编码,连接到数码管上面可以看到数码管显示对应的
数值。

代码:LED.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity LED is
port(number:in std_logic_vector(3 downto 0);
ledout:out std_logic_vector(7 downto 0));
end;
architecture u1 of LED is
begin
with number select
ledout<="00111111"when"0000", --0
"00000110"when"0001", --1
"01011011"when"0010", --2
"01001111"when"0011", --3
"01100110"when"0100", --4
"01101101"when"0101", --5
"01111101"when"0110", --6
"00000111"when"0111", --7
"01111111"when"1000", --8
"01101111"when"1001", --9
"01110111"when"1010", --A
"01111100"when"1011", --B
"00111001"when"1100", --C
"01011110"when"1101", --D
"01111001"when"1110", --E
"01110001"when"1111"; --F
end;
实验结果:按下试验箱的按键后,数码管显示按键的状态。

比较器:按键状态作为输入,输入AB两个数值经过比较器后,比较器输出LED的大小状态,通过LED指示灯显示。

代码:
comp.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity comp is
port(numbera:in std_logic_vector(3 downto 0);
numberb:in std_logic_vector(3 downto 0);
compout:out std_logic_vector(2 downto 0);
power:out std_logic);
end;
architecture u1 of comp is
begin
process(numbera,numberb)
begin
if numbera>numberb then compout<="100"; --大于
elsif numbera=numberb then compout<="010"; --等于
else compout<="001"; --小于
end if;
power<='1';
end process;
end;
引脚配置
实验结果:
两组按键的状态可以比较大小,并且通过LED指示灯指示大于、等于、小于三种状态。

相关文档
最新文档