出租车计价器PPT
出租车计价器论文答辩ppt课件
由仿真结果可以看出此模 块为分频器,当车辆运行
进行8分频,以作为选通脉冲, 时,对输入脉冲cp_32M
使得数码管显示能比较稳定的为 进行分频输出,其中sec是
人眼所看到。
32分频输出信号,
设计采用的输入脉冲为32MHz。 cp_xuan为10分频输出信
号。
.
14
七、总体电路的结果验证
由仿真结果可以得出本设计功能都得以实现,当车辆开始营 运(rst为“0”,stard为“1”)时,对路程脉冲(clk1) 进行计数,同时把行驶的路程和暂停等候时间(由输入的 32MHz脉冲生成分频秒脉冲计来时)均折算成费用,通过选 通模块和译码模块把数据轮流传输到外接的显示设备(共阴 数码管)上。以方便乘客直观的知晓目前的行驶里程和相应 的费用。
.
由仿真结果可知车辆启动后,有 秒脉冲clk2输入该模块。当行驶 路程小于3公里或计费不超过10 元时(即p为“0”),等待时间 不折算成费用。随着行驶路程变 长,超出起步路程或价格后(即 p为“1”),车辆每暂停等待3 分钟,费用增加0.5元。当车辆 停止(即rst为“1”)时,模块 数据清零,车辆开始运行(即rst 为“0”,start为“0”)时, 数据清零。
块输出p信号。
当车辆停止(即rst为“1”)
时,模块数据清零,车辆开始
运行,但还未开始计价(即
rst为“0”,start为“0”)
. 时,预置初始值,起步价17 0
六、模块介绍
2、模块jijia
在出租车营运过程中,必然会 遇到等红灯、堵车等情况,当 车速低于一定值是,此模块开 始工作,把等候时间折算成费 用。
基于VHDL的出租车 计价器设计
Rental car fare register system design base on
毕业答辩PPT出租车计价器ppt课件
1.数码管显示里程和金额(四位显示,最后一位为小数位); 2.单程价格为2元/公里,往返的价格为1.5元/公里;单程/往返
两种模式可由按键切换;
3.车速<5公里/小时的时间累积为总等待时间T(分钟),每5分 钟等待时间相当于里程数增加1公里;
4.起步公里数为3公里,价格8元;若实际运行大于3公里,超 出的里程按要求2进行;
第二部分:设计要求及具体 指标
❖ 2.1设计要求
设计一款具有特定功能的计程车 里程表系统,通过利用传感器检测车 速,能对里程和金额进行处理和显示, 通过按键可以选择多种功能模式。要 求结构简单、操作方便、显示直观、 运行稳定 。
常见心律失常心电图诊断的误区诺如 病毒感 染的防 控知识 介绍责 任那些 事浅谈 用人单 位承担 的社会 保险法 律责任 和案例 分析现 代农业 示范工 程设施 红地球 葡萄栽 培培训 材料
❖1.2 本次设计的意义
计程车里程表虽然已经普及
市场,可是现在的里程表各有各 的优点,也有许多不足之处,为 了使计程车里程表系统功能更全, 综合运用更广泛,更人性化,我 们需要对里程表的功能进行综合 考虑,尽量做到综合各种里程表 的优点,改进它的不足之处。为 计程车里程表系统的设计提供一 种参考。
常见心律失常心电图诊断的误区诺如 病毒感 染的防 控知识 介绍责 任那些 事浅谈 用人单 位承担 的社会 保险法 律责任 和案例 分析现 代农业 示范工 程设施 红地球 葡萄栽 培培训 材料
❖ 数码管显示电路
图5 数码管显示电路
❖ 4.4 按键设计
本设计的键盘电路由5个独立按键 (S1、S2、S3、S4、S5)组成,如图6 所示。功能如下: (1)S1:功能键。按下时进入单双程选择 模式,再按回到实时时间显示状态。 (2)S2:切换键。按功能键进入单双程选 择模式后再按此键可进行单程和双程 之间切换。 (3)S3:停止键。运行状态按下此键即停 止计程计费。 (4)S4:清除键。按下时清除里程和金额 等数据信息,并将此次信息送入存储 电路储存。
基于VHDL的出租车计价器系统设计ppt
end if; end process p1; p2:process (temp0,clkin) begin if (reset='0') then temp1<=(others=>'0'); elsif rising_edge (clkin) then if (temp0>=licheng) then if (temp1=conv_std_logic_vector (4,4)) then temp1<=(others=>'0'); else temp1<=temp1+'1'; end if; end if; end if; end process p2; clkout<='1' when (temp1=conv_std_logic_vector( 4,4)) else '0'; length<="000000"& licheng when(temp0<=licheng) else temp0; end behave;
里程计价部分( 第一部分 里程计价部分(续)
•计数器B作用 •对输入的100m脉冲oclk进行累加 •在开始时输出起步里程数据,而当超出 起步里程时自动输出实际公里数据给译 码/动态扫描模块 •每计满500m路程送出1个脉冲clkout给 计数器C。
里程计价部分( 第一部分 里程计价部分(续) LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; ENTITY cnt_B is port ( reset,clkin: in std_logic; dip:in std_logic_vector (2 downto 0); length:out std_logic_vector(12 downto 0); clkout:out std_logic); end cnt_B; ARCHITECTURE behave of cnt_B is signal licheng: std_logic_vector(6 downto 0); signal temp0: std_logic_vector(12 downto 0); signal temp1:
出租车计价器5页
本计价器的主要功能包括:计时功能;计费功能;计程功能;LCD显示;功能:1)启动计费器,里程计数器和时间计数器从零开始,费用计费器从10元开始计算;2)根据出租车行驶的里程数和时间(包括停车等待时间)进行计费,当出租车启动在3公里以内,且在等待累计2分钟内时费用计数器复位为起步价10元;3)当出租车在行驶状态下超过3公里时,每满1公里时,费用计数器加1元;4)当出租车等待时间累计超过2分钟时以每分钟1元计费。
整体设计方案:Clk 输入 C9;Sw1输入 L14;TXD 输出 u8;Flash_ce 输出 k18;Lcd_e 输出 M18;LCD_RW 输出 L17;LCD_RS 输出 L18;LCD_d[3:0] 输出 R15 R16 P17 M15;模块划分1.分频电路模块:2. 时间计算模块:start:启动信号,当start为高电平时出租车开动,选择以公里计费;当start为低电平时出租车等待;选择以时间计费m:输出的时间分信号s: 输出的时间秒信号Time_enable:控制计费的时间信号,当其为高电平时控制计数器按时间数计数3. 计程功能“distance_enable:控制计费的公里信号,当其为高电平时控制计数器按路程计数distance:输出的公里信号4.判断控制模块;select_clk:输出选择的时钟信号5.计费模块:fee: 输出的费用信号6.显示模块:分频程序:module div(clk_50M,clk,reset);input clk_50M;input reset;output clk;wire clk_50M;wire reset;reg clk;reg [7:0] count;always@ (posedge clk_50M or negedge reset)beginif(!reset)begincount<=0;clk<=0;endelseif(count==8'd100)begincount<=8'd0;clk<=~clk;endelsecount<=count+1'd1;endendmodulemodule test;reg clk_50M;reg reset;wire clk;div u1(.clk_50M(clk_50M),.reset(reset),.clk(clk));initial#0 clk_50M=1'b0;always #5 clk_50M=~clk_50M;initialbegin#0 reset=1'b0;#100 reset=1'b1;endendmodule计时激励:module timemokuai(clk,reset,start,s,m,time_enable);// 端口的定义input clk,reset,start;output [7:0] s;//输出的秒output [7:0] m;//输出的分output time_enable;//输出的控制计费的信号reg [7:0] s;reg [7:0] m;wire time_enable;always@(posedge clk or negedge reset)//异步复位beginif(!reset)//低电平有效begin //复位s<=8'd0;m<=8'd0;endelse if(!start)//start 信号低电平有效beginif(s[3:0]==9)// 秒的低四位是9begins[3:0]<=4'd0;//清零if(s[7:4]==5) // 秒的高四位是5begins[7:4]<=4'd0; //清零if(m[3:0]==9) // 分的低奈皇?beginm[3:0]<=4'd0; //清零if(m[7:4]==9) // 分的高四位是9m[7:4]<=4'd0; //清零else m[7:4]<=m[7:4]+1'd1; // 分的高四位不是9加一endelse m[3:0]<=m[3:0]+1'd1; //分的低四位不是9加一endelse s[7:4]<=s[7:4]+1'd1; // 秒的高四位不是5加一endelse s[3:0]<=s[3:0]+1'd1; //秒的低四位不是9加一end//end alwaysendassign time_enable=((m[7:0]>8'd2)&&(s[7:0]==8'd0))?1'd1:1'd0; //产生time_enable信号。
基于单片机的出租车计价系统设计PPT
计价器是由计数器对里程的累计乘以单价, 加上起步价。设计中用霍尔传感器发出方波 信号,并用P3.4口把方波信号接受回来(计 数器计数)。用LCD分别显示公里数和当前 价钱。
主要使用的元器件
设计主要使用的元器件有: STC89C52单片机、霍尔传感器 、 MAX232及九针串口、LCD1062液晶显示屏 以及按键等器件。
VSS VDD VL RS
R/W
E
P0.0 8 D0
P0.1 P0.2
9 10
D1 D2
P0.3 11 D3
P0.4
P0.5 P0.6
12 13 14
D4 D5
D6
EA/VPP RD/P3.7 RW/P3.6
ALE/PRDG RSEN
31 17 16 30 29
P0.7 VCC GND
15 16
D7 BLA BLK
VCC
15
C B
VCC
R? R ES2
C1 03 1 0μ 1 00
1 0K
P33 1 3 P32 1 2
P35 1 5 P34 1 4
C1 01 3 0P
EA 3 1
19
1 1. 0 59 K Y2
18
3 0P
C1 02
RET 9
P37 1 7 P36 1 6
INT1 INT0
T1 T0
EA/VP
MAX232与单片机的接口电路图
LCD1602与单片机STC89C52硬件接 口电路图
P1.0 1
P1.1 P1.2
2 3
4
5
6
7
8
10
11 12
13
霍尔传感器信号发出
出坐车计价器
总电路图
放映结束 谢谢!
ቤተ መጻሕፍቲ ባይዱ
出租车计价器控制电路的总体框图
出租车计价器里程计数单元
里程计数要设计一个模100计数器,计数器 里程计数要设计一个模100计数器,计数器 采用74LS290( 采用74LS290(4位二进制同步计数器) 74LS290的逻辑符号和引脚图示于下图中, 74LS290的逻辑符号和引脚图示于下图中, 该片具有下列输入端:异步清零端CR(低电 该片具有下列输入端:异步清零端CR(低电 平有效),时钟脉冲输入端CP,同步置数控 平有效),时钟脉冲输入端CP,同步置数控 制端LD(低电平有效),计数控制端CT和 制端LD(低电平有效),计数控制端CT和 CT,数据输入端D0-D3,具有下列输出端: CT,数据输入端D0-D3,具有下列输出端: 计数器的状态输出Q0-Q3,进位输出CO。 计数器的状态输出Q0-Q3,进位输出CO。
二位BCD码加法器电路图
555定时器的介绍: 555定时器的介绍: 555 定时器的内部电路框图和外引脚排列图分别 如图 2.9.1 和图 2.9.2 所示。它内部包括两个电 压比较器,三个等值串联电阻,一个 RS 触发器, 一个放电管 T 及功率输出级。它提供两个基准电 压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。 两个比较器的输出电压控制 RS 触发器和放电管 的状态。在电源与地之间加上电压,当 5 脚悬空 时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若 /3, 的反相输入端的电压为VCC /3。若 触发输入端 TR 的电压小于VCC /3,则比较器 C2 的电压小于VCC /3,则比较器 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 端的电压大于VCC /3,则 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。
出租车计价器
出租车计价器出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
目录检定用仪器计量器具功能检查外观与要求简介检定用仪器计量器具参照规程:JJG738—2023《出租汽车计价器标准装置》JJG517—2023《出租汽车计价器》1.本机检定新制造、修理后更改了软、硬件的计价器,依照到车辆上之前必需进行本机检定。
2.使用误差检定(也称整车检定)本机检定合格的计价器安装到车辆上后,必需在专门的场所连同车辆一起,在计价器使用误差检定标准装置上进行使用误差的检定。
修理后的计价器也要进行使用误差的检定。
功能检查依照计价器使用地政府主管部门订立的收费标准对应检查,检查结果符合如下要求:自检功能:计价器应有自检程序,在开机时显示全部的显示字符及状态指示灯全部显示,显示时间不小于3s。
数据储存功能:(1)单次营业状态数据存储:及机器至少应存储100次营业状态的数据,每次计量内容应包括:(2)总累计数据存储应包括以下五项内容:(3)计价器应有保护数据的功能3.失电保护功能4.打印功能5.当计价器空、重车运营状态的转换,应通过翻动空车车牌来实现。
翻倒空车牌,即进入重车状态。
外观与要求计价器应有金属铭牌,铭牌上应注明:制造厂名称、产品名称、产品型号、出厂编号、制造日期、制造计量器具许可证标志及编号。
计价器应坚固耐用,其壳体表面不应有凹痕、划伤、裂缝、变形等现象。
表面涂镀层不应起泡、龟裂和脱落。
金属部件不应有锈蚀及其他机械损伤。
壳体应有铅封耳(孔)用于加装铅封。
开关、按键操作应快捷牢靠。
说明功能的文字符号和标志应清楚端正。
传感器应直接将车辆变速器的转动信号有效地转换为电信号。
计价器安装到车辆上必需使用与计价器匹配的独立传感器,不能直接使用车辆供给的电信号。
空车牌结构应坚固,翻动快捷,接触牢靠。
对于禁止接触和禁止调整的器件或掌控器应实行保护性措施,影响计价器计量性能的调整开关、掌控开关或按钮均应置于机壳内。
出租车计价器
一、基本功能1、显示:可以显示里程、金额、等待时间、速度。
2、计费功能:起步公里数为2公里,价格为6元;若实际运行大于2公里,按单程价格为1.6元/公里,往返则价格为1.6元/公里。
3、按键功能:单程/往返分别由“单程”按键和“往返”按键设定;按“暂停”键,计价器可暂停计价;按“清除”键,计价器能将记录数据(里程、等待时间与金额等)自动清0;按“查询”键,能自动显示总等待时间;按“返回”键,仍然显示里程和金额。
二、系统的组成及工作原理计价器的单片机控制方案图如图1 单片机控制方案图所示。
利用单片机丰富的IO端口,及其控制的灵活性,实现基本的里程计价功能和价格选择、时间显示控制等功能。
不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还可以方便的对系统进行升级图1 单片机控制方案图三、总体设计电路图总体电路图如图2所示图2 总体电路图四、硬件电路设计1、里程计算,计价单元设计里程计算是通过安装在车轮上的霍尔传感器A44E检测到的信号,在该系统中用两种脉冲发生器进行模拟,送到单片机,经处理计算,送给显示单元的。
其原理如图3所示。
图3 模拟测距示意图555电路来产生一个脉冲来模拟霍尔传感器输出的里程脉冲,选择P1.0口作为信号的输入端,内部采用外部中断0,555脉冲产生电路输出一个脉冲信号直接加到IO口P1.0上(产生的频率F=1/T=1.414/[(R1+2R2)C1]),由单片机的内部算法对脉冲计数,通过计算将脉冲增加体现在金额和里程上。
具体电路如图4所示。
图4 555脉冲产生电路图光耦电路是以光为媒介传输电信号的一种光一电转换器件,是另一种模拟将出租车行驶时车轮所转的圈数转换成脉冲信号送入数据处理电路。
H92B4是光偶电路的基本元件,它由发光源和受光器两部分组成,发光源为发光二极管,受光器为光敏三极管。
当发光源与受光器之间存在光线阻挡时,输出端由高电平变为低电平,恢复光通路又变为高电平,就这样形成一个个的高低电平脉冲。
出租车计费器
出租车计费器设计要求计费标准为武汉起步3元,1公里后为4元/公里,1.5公里后为5元/公里,2公里后为6元/公里,2.5公里后为7元/公里,3公里后为8元/公里,以后1.4元/公里。
当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。
车费显示出来,有一位小数。
1、 设计原理及方法如图1所示,包含运算控制模块、分频器、显示模块这三大部分。
图1系统框图运算控制器模块将其他两个进行连接,是本次设计的核心。
它包含计程器、计时器、计价器,同时还有读取外部的拨码开关控制信号,提供当前显示信号与显示位选信号,从而周期性交替显示计价/计时信息、等时信息。
计程器、计时器、计价器根据位选信号,输出相应数据的当前选中数字,由顶层模块根据显示数据类型变换信号进行选择,传输给显示模块。
实验箱的时钟信号为20MHz方波,由分频器分为10Hz的计时信号(一个周期代表现实中的1s)、10Hz的计程信号(一个周期代表现实中的10m)、500Hz的数码管扫描信号(扫描频率62.5Hz)、0.2Hz的显示数据类型变换信号(变化周期5s)。
显示模块由实验箱上的3/8译码器、五个LED灯构成状态的指示灯、程序编写的一个七段数码管译码器。
2、主要模块设计2.1、速度模块速度模块首先根据start信号判断是否开始计费,然后根据输入的速度档位sp[2..0]的判断,确定行驶100m所需要的时钟数,每前进100m,输出一个clkout 信号。
同时由cnt对clk进行计数,当cnt等于kinside时,把clkout信号置1,cnt清0。
其模块框图如图2图2速度模块框图2.1.1、速度模块仿真速度模块的仿真波形图如图3示。
该模块根据出租车所处的运行状态和不同的形式速度,对相应数目的时钟周期进行计数,车每行驶100m时输出信号clkout 输出高电平。
图3速度模块仿真波形图2.2、计程模块主要用于记录行进的距离,其模块框图如图4所示。
出 租 车 里 程 计 价 器
1 设计任务描述1.1设计题目:出租车里程计价器1.2 设计要求1.2.1 设计目的(1) 掌握出租车里程计价器的构成,原理和设计原理;(2)熟悉集成电路的使用方法。
1.2.2 基本要求(1) 设计秒信号脉冲产生器;(2) 行驶里程信号用传感器产生,出租车起价费为3公里8元,此后为每550米加收1元;(3) 等候时间为10分钟计价器加收1元,等候时间信号由时间产生;(4) 计价表的计数、寄存、译码显示系统;里程数的计数、寄存、译码显示系统。
1.2.3 发挥部分(1) 白天、晚上的转换,晚上为3公里9元,此后每500米加收一元;(2) 空调使用时,为3公里9元,此后每500米加收一元。
2 设计思路根据此次课程设计的要求,我设计的出租车里程计价器基本电路由四个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器、译码器和显示器组成整体的电路系统。
秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来,构成等待计时部分。
一、等待计时:(1)震荡电路设计:可采用由集成电路定时器555与RC组成的多谐震荡器。
选用555定时器构成多谐振荡器,振荡器的频率为1000赫兹。
(2)分频电路设计:采用三片74LS90级联,每片10分频,最终得到1HZ的方波信号供秒计数器进行计数。
(3) 秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来。
以上三部分构成等待计时电路。
二、公里计数:(1)传感器送来米信号脉冲,由计数器计数。
(2)三公里比较电路设计:千位计数器输出送给数值比较器,进行比较,当大于或等于三时,数值比较器输出有效信号,送给价钱计数系统。
(3)由显示译码器和显示器构成公里显示。
以上三部分构成公里计数系统。
三、价钱计数:(1)由数值比较器送来有效脉冲,使三位550进制的计数器开始计数。
(2)由两片计数器组成,低位计数器预置为8,前三位计数器计满向此进位,计数器加1。
多功能出租车计价器
天津工业大学毕业设计(论文)多功能出租车计价器天津工业大学毕业设计(论文)任务书院长教研室主任指导教师毕业设计(论文)开题报告表天津工业大学本科毕业设计(论文)评阅表(设计类)天津工业大学毕业设计(论文)成绩考核表摘要在当今城市生活中,出租车作为一种普遍的公共交通工具起着重要的作用。
目前市场上出租车计价器功能主要有具有数据的复位功能、白天/晚上转换功能、数据输出功能、计时计价功能等等,本文设计的是一款多功能出租车计价器,在原有功能的基础上增加单价输出、单价调整、路程输出、显示当前的系统时间、实现在系统掉电的时候保存单价和系统时间等信息等功能。
输出采用8 段数码显示管。
本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。
关键词:单片机AT89S51;数据显示部件;A44E霍尔传感器电路;AT24C02 掉电存储单元AbstractIn today's city life, a taxi as a general public transport plays an important role. Taxi meter currently on the market functions mainly with the data reset function, day / night conversion, data output, time valuation function, etc., this design is a multi-functional taxi meter, basis of the original function the increase in unit output, unit price adjustment, walk out, show the current system time to realize the time saved in the system power-down time and other information unit and system functions. 8-segment digital display output tube. The circuit design of the meter will not only achieve the basic valuation, but also according to day and night, halfway to wait to adjust the unit price, while not denominated as the clock for the driver whenthe comrades can facilitate.Keywords: MCU AT89S51; data components; A44E Hall sensor circuits; AT24C02 storage unit power-down目录第一章前言 (1)1.1 出租车计价器概述 (1)1.2 设计任务与要求 (1)1.2.1 设计任务 (1)1.2.2 设计要求 (1)1.3 系统主要功能 (2)第二章计价器硬件设计 (3)2.1 系统的硬件构成及功能 (3)2.2 AT89S51单片机及其引脚说明 (3)2.3 AT24C02引脚图及其引脚功能 (5)2.4 AT24C02 掉电存储单元的设计 (6)2.5 里程计算、计价单元的设计 (6)2.6 数据显示单元设计 (7)第三章系统软件设计 (10)3.1 系统主程序设计 (10)3.2 定时中断程序设计 (10)3.3 里程计数中断服务程序设计 (10)3.4 中途等待中断服务程序设计 (10)3.5 键盘服务程序设计 (11)3.6 显示子程序服务程序设计 (11)附录 (14)参考文献 (40)谢辞 (41)第一章前言1.1出租车计价器概述计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算)。
出租车计价器
摘要随着社会生产力的发展,人民生活水平的提高,作为快捷而便利的交通工具,出租车越来越受到人民的喜爱。
出租车计价器是出租车上重要的电子产品,用于路程的计量和价格的计算。
其精确度和准确度直接影响到广大乘客的利益。
研究和设计计价器,提高其产品的精准度,越来越受到广泛的关注。
本次设计使用光电传感器产生脉冲信号,按行驶的路程量转换为基本的单位脉冲量,经三极管放大电路,将输入的脉冲信号放大后,输入单片机8031的中断T0,根据输入的脉冲个数,计算行驶的路程和该路程内应支付的价钱。
运算处理过的数据经过7279显示模块显示输出。
本次设计适用于计价标准不同的出租车上使用,单价、起步价、起步公里均可调整。
由于设计时是根据特定的车辆进行的,所以在使用时需要对相应的参数进行适当的更改。
本产品使用方便,不仅可以显示价格还可以显示里程数。
关键字:光电传感器、单片机8031、7279、出租车计价目录前言 (3)第一章系统组成及工作原理 (4)1.1系统的组成 (4)1.2 工作原理介绍 (5)第二章硬件电路设计 (6)2.1微处理系统 (6)2.2传感器及接口 (6)2.3键盘、显示接口 (8)第三章系统软件设计 (10)3.1软件设计总体说明 (10)3.2程序结构设计 (11)第四章系统调试与分析 (14)第五章设计总结与体会 (15)参考文献 (16)附录 (17)1.程序清单及注释 (17)2.元件清单 (30)3.电路总图 (30)前言近些年来,随着单片机的发展,产品相当的繁多,单片微型机又称嵌入式控制器,是20世纪70年代中期发展起来的一种大规模的集成电路器件。
当单片机的应用不断地深入,新技术的发展也是层出不穷的。
这是一项新型的工程技术,其内涵也是随着单片机的发展而发展。
MCS-51系列的单片机是属于结构相当简单,但也是单片机中的典型,应用也相当的灵活。
8051系列的单片机产品发展迅速,在国内外占有重要的地位。
出租车计价器从最初的只能进行简单的价格计算,发展到价格、距离独立计量并显示,可根据特殊情况设定相应的收费标准,能打印营运发票,可使用IC卡结算等的功能。
出租车计价器的使用
出租车计价器的使用(一)计价器显示屏根据《出租汽车计价器检定规程》(JJG 517-2009),计价器显示屏至少有4个显示窗口,包括金额屏、单价屏、计程屏、计时屏。
计价器显示屏旁设有IC卡卡槽和按键(称为机外键),机外键不得超过3个,一般由暂停键、检查键、单程键组成。
(1)金额屏:显示乘客乘坐出租汽车应支付费用的总金额。
(2)单价屏:显示计价器在重车状态下出租汽车运营时的每公里租金。
(3)计程屏:显示计价器在重车状态下出租汽车行驶的里程数,从0.0公里开始显示。
(4)计时屏:显示计价器在重车状态下,出租汽车低速运营累计时间,以秒为单位从0秒开始显示。
(二)正确使用计价器和空车待租标志出租汽车运营候客时竖起空车待租标志,计价器处于空车状态。
当乘客上车起步后,应压下空车待租标志,计价器处于乘车状态,此时计价器主机单价显示屏显示单价,金额屏显示基价,计程和计时屏显示本次运营累计的行驶里程和等候时间。
夜间运营时空车待租标志和顶灯同时熄灭,计价器开始按距离、时间并计式的计费方式进行计费。
当车速低于切换速度或者停车时计价器开始计时,高于切换速度时只计行驶时程。
出租汽车到达目的地后,出租汽车驾驶员按暂停键,使计价器暂停计费。
待乘客按计价器显示的金额付费后,竖起空车待租标志,计价器处于空车状态,计价器显示屏显示归零。
(三)计价器使用的注意事项(1)做好运营前的检查工作。
主要检查内容有:①计价器铅封是否完好,通电后计价器自检是否正常;②计价器显示屏显示是否正常;③空车待租标志翻动是否自如,空车、重车转换是否正常。
(2)需要收取单程附加费的,应先向乘客说明,然后按“单程”键。
(3)计价器显示的车费不包含车辆通行费,一般不含燃油附加费,出租汽车驾驶员应向乘客告之并做好解释工作,如实向乘客收费,不得向乘客收取返程的通行费。
(4)运营时发现计价器有故障,必须终止运营,将计价器送往有计价器维修资质的部门维修。
车上有乘客时,应向乘客说明,按实际行驶里程和时间收费,乘客下车后要立即停止运营,维修计价器。
出租车计价器ppt课件
(1)计费电路模块
计费电路模块由里程计数器和计费计数器构成。
程序设计在里程脉冲的作用下,使用if判断语句判断停止、 启动、暂停信号,若停止则将里程计数器和计费计数器清零; 若启动则里程计数器清零,计费计数器赋初值(起步价 10.00元),若暂停则里程计数器和计费计数器都保持不变。 在正常行驶时,需根据所计的里程数和计费规则计算出租车 费用。如判断里程数是小于5km还是大于等于5km,计费是 否超过50.00元等。其模块图如下:
(3)能模拟汽车启动、停止、暂停等状态。
扩展功能:
暂停等待收费功能:当车辆行驶超过起步范围时,每暂停等 待三分钟加收费用0.5元,最终总费用为正常行驶计费与暂 停等待计费之和。
2、设计思路
设计分为路程计费及车费和里程的显示部分,程序设 计流程图如下:
计价器先进行停止 ( stop)判断,再进行启动 ( start)判断,然后进行暂停(pause)判断,当出 租车正常行驶时,每行驶10米,传感器产生一个脉冲, 通过对该里程脉冲计数,确定行驶里程数和收费金额。 最后通过数码管进行显示。 根据出租车计价器的基本功能,可以将出租车计价器 控制电路划分为计费电路模块,进制转换模块和数据 选择译码显示模块三个部分。
出租车计价器
出租车计价器控制电路设计
1、设计任务及要求 基本要求: (1)计费功能:按行驶路程计费,起步价为10.00元,并在 行驶5km后按1.4元/km计费,当计费达到或超过50元时,每 公里加收50%的车费,车停止不计费;
(2)动态扫描电路,将车费和里程显示出来,保留两位小 数;
(3)数据选择译码显示模块 该模块实现将里程计数和计费计数的四位十进制数在扫描 时钟的作用下动态显示在8个数码管上的功能。 首先利用8选一数据选择器分时选择其中一个数输出并使对 应的数码管片选有效。然后将输出的数据(8421BCD码)译 码为共阴极数码管的8位二进制数(分别对应hgfedcba),h 对应小数点显示。这里利用扫描时钟控制8进制计数器计数 产生数据选择器的地址选通信号,该时钟可直接采用进制转 换模块中的时钟信号。其模块图如下:
v 出租车计价器
if((P3&0xfb)!=0xfb)
{kk=~(P3|0xf4);//补码,便于处理
while((P3&0xfb)!=0xfb)disp();
}
}
return kk;
}
//------------
void getkey()
{switch(kk)
P2 =scan_bit[n]; //输出位码
delay(1);
P2 = 0xff; //关闭显示
}
}
//------------------------------------
uchar testkey()//检测按键
{ kk=0x00;
P3|=0X0B; //将3.2屏蔽
if((P3&0xfb)!=0xfb)
{if(s<=3)chefei=80;//小于3公里,8元
else chefei=80+(s-3)*20;//大于3公里,每公里加2元
}
if(EX0&&df) //往返程(优惠些咯)
{if(s<=3)chefei=80; //小于3公里,8元
else chefei=80+(s-3)*15; //大于3公里,每公里加1.5元
0x08,0x5E,0x82,0x42,0x54,0x41,0x01,0x5A,
0x00,0x40,0x10,0x05,0x89,0x06,0x81};
unsigned char data display[8];
unsigned char code scan_bit[8] = {0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe};
《出租车计价器》课件
该规程对出租汽车计价器的检定项目、技术要求、检定方法、检定周期等进行了详细规 定,是确保出租车计价器准确性和可靠性的重要保障。
出租车计价器的地方标准
要点一
《DB11/T 488-2007 北京市出 租汽车计价器…
该规范适用于北京市内的出租车计价器,规定了其技术要 求、检定方法和检定周期,是北京市出租车行业管理的重 要依据。
计价器的正确使用方法
01
乘客上车后,按下开始 按钮启动计价器。
02
行驶过程中,计价器会 根据行驶里程和时间计 算费用。
03
到达目的地后,按下结 束按钮,计价器停止计 费。
04
打印发票:按下打印按 钮,计价器将打印出费 用明细和发票。
计价器的日常维护保养
01
02
03
04
保持计价器表面清洁,避免灰 尘和污垢影响使用。
定期检查计价器的机械部分是 否正常运转,如有问题及时维
修。
定期更新计价器的电池,确保 其正常工作。
定期对计价器进行校准,确保 计费的准确性。
计价器的常见故障及排除方法
计价器不工作
检查电源是否正常,如有问题 更换电池或检查线路。
计价器显示异常
检查显示屏是否损坏,如有问 题更换显示屏或检查线路。
计价器计费不准确
可能是传感器故障或里程表故 障,需要专业维修人员进行检 修。
计价器无法打印
检查打印纸是否用完或安装正 确,如有问题更换打印纸或检
查打印机设置。
04 出租车计价器的未来发展
CHAPTER
智能化计价器的发展趋势
智能化计价器将具备更高的自动化和 智能化水平,能够实时更新计价数据 ,自动调整价格,提高计价的准确性 和效率。
毕业答辩PPT出租车计价器ppt课件
存储金额
结束
图13 键盘扫描子程序
常见心律失常心电图诊断的误区诺如 病毒感 染的防 控知识 介绍责 任那些 事浅谈 用人单 位承担 的社会 保险法 律责任 和案例 分析现 代农业 示范工 程设施 红地球 葡萄栽 培培训 材料
第六部分 系统仿真和设计结论
❖ 6.1 仿真结果
在Proteus软件中画出仿真电路,将车速 检测电路有传感器输出的信号简化为用一个 脉冲信号源替代,通过改变脉冲频率模拟车 速变化。把Keil uVision3编译后生成的 “.hex”导入到单片机中,进行仿真。下面 为系统的几种主要状态运行测试。
常见心律失常心电图诊断的误区诺如 病毒感 染的防 控知识 介绍责 任那些 事浅谈 用人单 位承担 的社会 保险法 律责任 和案例 分析现 代农业 示范工 程设施 红地球 葡萄栽 培培训 材料
❖ 单片机最小应用系统
图3 单片机最小应用系统
❖ 4.2 车速检测
车速检测是里程表最基本最重要 的一项功能,里程表系统的信号来自 一个传感器测车速获得的电信号,目 前的车速传感器主要有三种,霍尔式、 光电式、磁电式。经过三种传感器的 比较,本次设计最终选用了霍尔式传 感器。相比后两种传感器,霍尔传感 器相对而言对使用环境要求不多也不 高,适用性更好,而且价格更低,节 约成本。
模式选定后按确认键进入运行状态,空车指示灯灭,该 模式对应的指示灯亮(双程亮,单程不亮)。数码管前四位 显示里程,后四位显示金额。以双程模式运行状态为例,如 图17所示。
❖ 5.2 数据处理子程序简介
数据处理子程序如图11所示。
开始
计算里程
里 程 <3公 里
是
金 额 =8元
否
金 额 =单 价 * ( 里 程 -3 ) + 8
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
clk_d
distant[7:0] flag_3 count_dis
reset
flag
flag_25
3.3 计程模块
2、信号描述
引脚名称
reset
方向
I
功能描述
当reset=0时,计程清零
clk_d
flag
I
I
在每个clk_d的上升沿时,路程加 100m
flag为0,计程有效,否则,计程保 持
distant[7:0]
2、输入/输出定义
clk_50M rst_n R_A taxi R_B lcd_d[3:0] flag led[7:0] flash_ce
lcd_rw lcd_rs lcd_en
模块 划分
3、模块划分
分频模块 计时模块 为计时模块和计费模块提供时钟。 按照需求,当出租车累积计时超过2分钟 后,给出一个控制信号来控制计价模块 按照需求,当出租车行驶路程超过3公里 后,给出一个信号开控制计价模块,当超 过25公里后也会给出一个控制信号。 根据上面两个信号的输出通过此模块进行 处理,将输出的结果输入到计价模块,用 来控制计价模块。 按照我们的需求分析,按照要求进行计费 。 为计程模块和计费模块提供时钟信号。 按照我们的要求将相关的信息显示到LCD上
分工
6.分工
负责编写旋钮模块,计费模块,还有整 体电路的连接。 负责编写lcd显示模块,报告的纂写。
负责编写计程模块,还有各个模块的测试。 负责编写计时模块。
谢谢
minute[7:0]
second[7:0] distance[11:0] Price[11:0]
I
I I
等待计时的输入(秒)
所行路程的输入 总价输入
Lcd_d
O
、接口时序
测试
4 、测试部分
测试模块主要是将各个模块进行功能仿真 ,测试各个模块的功能是否完整。 LCD模块还有旋钮模块主要是通过板级调 试来测试其功能,对于LCD我们主要是观察液晶 屏显示的结果,对于旋钮模块主要是根据LED流 水灯的转换来进行测试。 测试完成后将所有的模块连接到一起下板 看功能是否符合要求。最够根据性能测试来改进 我们的代码,完善电路以达到我们的要求。
lce_rw lce_rs lce_e lce_d flash_ce led
I
O O O O O O
FPGA上旋钮的输入端
Lcd的读写控制输出端,输出给lcd用来控制读写信号 Lcd的数据和指令的控制信号,输出给lcd的控制信号 Lcd的使能信号,输出给lcd作为使能信号 Lcd的数据端,用来输出给lcd的4位数据 用来控制lcd与flash共用的4位数据总线的使用权交给lcd 用来测试旋钮是否正常工作
rst_n
I
clk_out
O
输出1HZ的时钟,供计时和计费模块 使用
3.1 分频模块
3、接口时序
3.2 计时模块
计时模块
3.2 计时模块
1、结构框图
clk_1HZ
minute[7:0]
rst_n
jishi
second[7:0]
flag_out
flag
3.2 计时模块
2、信号描述
引脚名称 clk_1Hz 方向 I 功能描述 计时所需的时钟,周期为秒
LED_DATA O Rotary_e O vent
3.6 旋钮模块
5、接口时序
3.7 lcd驱动模块
lcd驱动模块
3.7 lcd驱动模块
1、结构框图
clk lcd_rs rst lcd_rw minute[7:0]
second[7:0]
LCD_driver
lcd_d[3:0]
lcd_en distance[11:0]
4
1、项目规范
1总价的显示范围为0~99.9元, 计价分辨率为0.1元; 2计程的显示范围为0~99.9公里 ,分辨率为0.1公里; 3计时的显示范围:分钟的显示 范围是00:00-59:59, 辨率为1秒钟 。
计时显示,计费模块
LCD显示模块
计费模块 显示格式:
输入 输出 定义
2、输入/输出定义
引脚名称 flag time_en 方向 I I 功能描述 计程、计时控制信号 等待时间大于2分钟的使能信号
dis_en clk_select
I O
路程大于3公里的使能信号 产生控制计费模块的时钟信号
3.4 信号控制模块
3、接口时序
3.5 计费模块
计费模块
3.4 信号控制模块
1、结构框图
clk_1HZ flag 计时计费
3.6 旋钮模块
1、基本原理
3.6 旋钮模块
2、结构框图
clk
LED_DATA reset
ROTARY R_A
Rotary_event Rotary_event R_B
3.6 旋钮模块
4、信号描述
引脚名称 clk reset R_A R_B I I I I 方向 时钟输入 复位信号,低有效 开关A信号输入 开关B信号输入 8发光二极管的循环流水显示的值 旋转开关每旋转事件的表示,每旋动一 次,路程加100米 功能描述
基于FPGA的 出租车计价器设计
小组成员:
目录
1 项目规范
2输入/输出定义
本文目录 结构
3模块划分 4测试 5进展程度
6分工
项目 规范
1、项目规范
出租车载客后,启动计价器, 整个系统开始运行,里程计数器和 时间计数器从0开始计数,计费模 块从6 +1(6为起步价,1为燃油费 )元开始计价,出租车到达目的地 停止后,停止计价,出租车显示总 费用、总路程及途中等待时间。
4 .1分频模块
仿真结果
4 .2计时模块
仿真结果
4 .3计程模块
仿真结果
4 .4信号控制模块
仿真结果
4 .5计费模块
仿真结果
4 .6LCD显示模块
复位时仿真结果
进展 程度
5、进展程度
到目前为止,我们对出租车计价器完成的功能有 :计时模块,计程模块,计费模块,lcd模块还有旋钮 模块都已经完成。 计时模块显示正常,当计时超过2分钟后每分钟 计费1元钱; 计程模块显示正常,旋钮每转动一下计程100m, 满足我们的设计规范; 计价模块显示正常,当路程超过3公里后,每公 里1元钱,超过25公里后每公里2元钱,当累计时间超过 2分钟后每分钟1元钱; LCD显示正常,能够显示出租车行驶的路程、累 计等待时间还有目前所用费用。 目前设计已经达到我们的设计规范的要求。
名称 clk_50M rst_n flag R_A 方向 I I I I 功能描述 50MHz的晶振时钟信号,50%的占空比,输入数据在clk的 上升沿采样,输出数据在clk的上升变化。 复位信号,低电平有效。为电路提供异步复位信号 计程、计时控制信号:0:计程;1:计时 FPGA上旋钮的输入端
R_B
flag
rotary_event clk_1HZ clk fee[11:0]
I
I O
时间计费的时钟信号
控制总费用的时钟信号 总价输出
3.5 计费模块
3、接口时序
3.6 旋钮模块
旋钮模块
3.6 旋钮模块
1、基本原理
3.6 旋钮模块
1、基本原理
3.6 旋钮模块
1、基本原理
3.6 旋钮模块
1、基本原理
clk rst_n R_A R_B
Rotary_event
3.1 分频模块
分频模块
3.1 分频模块
1、结构框图
clk_50M
div
rst_n
clk_out
3.1 分频模块
2、信号描述
信号名称 方向 I clk_50M 功能描述 50MHz的晶振时钟信号,50%的占空 比,输入数据在clk的上升沿采样, 输出数据在clk的上升变化。 低电平有效的复位信号,为分频模块 提供异步复位信号。
clk 总计费
reset
fee
rotary_event flag_25 clk_s
计程计费
3.5 计费模块
2、信号描述
引脚名称 clk_s reset 类型 I I I I 功能描述 控制计费的控制信号 异步复位信号,当reset=0时,总价 显示为6¥ 计程或计时的选择控制信号 路程计费的时钟信号
flag_3
O
O
路程的输出
路程达到3公里的标志位,高有效
flag_25
O
路程超过25公里的标志位,高有效
3.3 计程模块
3、接口时序
3.4 信号控制模块
信号控制模块
3.4 信号控制模块
1、结构框图
flag
dis_en
control
clk_select
time_en
3.4 信号控制模块
2、信号描述
rst_n
flag
I
I
复位信号,低有效
当flag=1时,计时继续,否则,计时保 持
flag_out
min[7:0] sec[7:0]
O
O O
等待时间超过两分钟的标志
等待累计计时的输出 (分) 等待累计计时的输出(秒)
3.2 计时模块
3、接口时序
3.3 计程模块
计程模块
3.3 计程模块
1、结构框图
实现对出租车所行路程的 计算:用旋钮所旋动的个数来模 拟汽车的走动。当路程在3公里 以内的时候不计程,当计程模块 超过3公里后每公里按1元计费 ,当车程超过25公里以后,每 公里2元钱(加上返程费)。
当出租车处于等待状态时, 若等待累计时间在2分钟内(包括2 分钟),不计费;若等待累计时间 在超过2分钟后,以每分钟按1.0元 计费。