西华大学EDA课程试卷+参考答案( A卷)1
西华大学EDA课程试卷+参考答案( A卷)1
西华大学课程考试参考答案( A卷)课程代码:8400070试卷总分: 100 分一、填空题参考答案及评分标准:(本大题共6小题10空,每空3分,总计30分)评分标准:填对一空得3分,不填或填错得0分。
参考答案:1. EDA的中文全称为电子设计自动化,FPGA的中文全称为现场可程序门阵列。
2. 一个大型的组合电路总延时为120ns,采用流水线将它分三个较小的组合电路,理论最高工作频率可达25MHz。
3. 实现一个大量数据处理、存储的电路,应选ACEX1K(填ACEX1K或MAX700)器件。
4.在以下的表达式或语句中选出正确的并将其番号填在空格处。
①4’b1110^4’b1101=4’h1111; ②8’b00101000=8’h28;③reg b; assign b=a; ④reg [1:0]c ; always@(posedge clk) c[1:0]=a[1:0];⑤{3{3’b110}}=6’B101010; ⑥4’b10ZX =4’b10Zx;正确答案②,④,⑥。
5.时序仿真和功能仿真中,没有包含器件时延参数的是功能仿真。
6、FPGA/CPLD设计流程中设计输入主要有:、HDL语言、原理图、波形图。
1、电子设计自动化、现场可程序门阵列2、25MHz3、ACEX1K4、②、④、⑥5、功能仿真6、HDL语言、原理图(只要意思正确即可)注:如有错别字,但不影响该空正确答案的判断只扣1分。
二、判断题参考答案及评分标准:(本大题共10小题,每小题3分,总计30分)评分标准:判断正确一道得3分,不判断或判断错得0分。
参考答案:1、MAXII和MAX7000器件都有LUT。
------------------------------------------------(V )2、Verilog HDL硬件描述方式中有行为描述方式。
---------------------------------(V )3、一个电路设计中使用了一个时钟,它最好锁到全局时钟管脚上。
西华师范大学EDA技术实用教程期末考试
西华师范大学EDA技术实用教程期末考试一.填空题1.术语CPLD表示什么意思?(a)(a)复杂可编程逻辑器件;(b)组合可编程逻辑器件;(c)组合可编程局部器件。
2.术语FPGA表示 (b).(a)正规的可编程门阵列;(b)现场可编程门阵列;(c)有限可编程门阵列。
3.术语HDL代表(a)(a)硬件描述语言; (b)美元崇拜者; (c)硬件开发语言; (d)高级设计语言。
4.关于自上而下的EDA设计,选择所有正确的说法。
(abcdef)(a)可做到更好的资源分配;(b)使得每一个小的功能模块可以被单独仿真;(c)加速仿真;(d)使器件的行为建模更容易;(e)导致一个低功耗的设计;(f)可在设计组的各成员之间有效地分割一个设计项目5.测试的10/10规则是(C )。
(a)应该每10天测试10次。
(b)对于设计的每个10%的部分应该进行10次测试。
(c)测试电路的规模不应超过整个电路规模的10%,而且设计和调试测试电路所占用的时间不应超过设计和调试原电路所用时间的10%。
6.术语“功能仿真”的含义是(a)(a)仿真一个设计的功能如何,而不关心其定时;(b)仿真一个设计的功能等效性;(c)仿真设计所代表的精确功能;(d)仿真一个设计的功能和时间特性。
7.VHDL程序输入方法主要有(原理图输入法),(文本输入法)和(参数化宏功能块LPM设计法)8.下列说法正确的是(a,c)(a)进程的启动必须有敏感信号;(b)进程语句process 必须有敏感信号列表;(c)进程可以用wait语句启动;(d)进程中的语句顺序颠倒一下不会改变所描述电路的功能.9.VHDL用于综合的数据类型主要有(标量)型、复合型和子类型,其中第一种类型包括所有的简单类型如(整数型)、(实数型)、(枚举型)等.10.VHDL中的数据对象有(信号)、(变量)、(常量)三种,端口属于(信号)。
11.下列有关时钟上升沿触发的描述正确的是(a,d,e)。
EDA试题答案
学习使人进步1.1.1(1)什么叫EDA(P1)?EDA技术是一种以计算机为工作平台,结合计算机图形学、拓扑逻辑学、计算方法学及人工智能等多项计算机应用科学的最新成果而开发出来的一套软件工具。
1.1.2(2)EDA技术在硬件硬件方面融合了哪些技术(P1)?大规模集成电路制造技术、IC版图设计技术、ASIC (专用集成电路)测试和封装技术、FPGA和CPLD编程下载技术、自动测试技术等1.1.3(3)EDA技术在计算机辅助工程方面融合了哪些技术(P1)?计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念。
1.1.4(4)EDA技术在现代电子学方面融合了哪些内容(P1)?如:电子线路设计理论、数字信号处理技术、嵌入式系统和计算机设计技术、数字系统建模和优化技术及微波技术等1.1.5(5)EDA技术在21世纪的突出表现有哪5个方面(P2)?●使电子设计产品以自主知识产权方式得以明确表达和确认成为可能。
●在仿真验证和设计两方面都支持标准硬件描述语言的功能强大的EDA软件不断推出。
●电子技术全方位进入EDA领域。
●EDA使得电子领域各学科的界限更加模糊,更加互为包容。
●不断推出更大规模的FPGA和CPLD。
●基于EDA工具的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块。
●软硬件IP核在电子领域得以进一步确认。
●SoC高效率低成本设计技术日益成熟。
●系统级、行为验证级硬件描述语言使复杂电子系统的设计特别是验证趋于高效和简单。
1.2.1(6)画图说明EDA技术实现目标是什么(P3)?1.3.1(7)目前常用的HDL主要有哪些?其中使用最多的是谁(P4)?VHDL;Verilog HDL;SystemVerilog ;System C 1.3.2(8)与Verilog相比VHDL有哪两方面优势?有哪三方面不足(P5)?优势:1.语法比Verilog更严谨,通过EDA工具自动语法检查,以排除许多设计中的疏忽;2.有很好的行为级描述能力和一定的系统级描述能力,而Verilog建模时,行为与系统级抽象及相关描述能力不及VHDL。
《EDA技术与应用》A卷及答案
《EDA技术与应用》期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1、VHDL的中文名称是_超高速集成电路硬件描述语言1.2.用EDA技术进行电子系统设计的目标是最终完成 ___ ASIC_____ 的设计与实现。
3.可编程器件分为 FPGA____ 和 ___ CPLD____ 。
4.标准逻辑位数据类型常用的数值有‘1’、‘0’、‘z’等。
5.在VHDL语言中可以使用的数据类型有: _位、标准逻辑位、布尔___。
6.完整的条件语句将产生组合 __ 电路,不完整的条件语句将产生 __ 时序______ 电路。
7.信号的赋值符号为<= ___ 变量的赋值符号为 __:=_ 。
8.随着EDA技术的不断完善与成熟, _自顶向下________的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 ____逻辑___ 仿真和 ____时序__ 仿真。
10.目前国际上较大的PLD器件制造公司有Altera和 Xilinx ___ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?①设计者不需增加新的相关知识,如HDL等。
②输入方法与用protel作图相似,设计过程形象直观,适合初学者入门。
③对于较小的电路模型,其结构与实际电路十分接近,设计者易于把握电路全局(适合设计小型数字电路)。
④设计方式接近于底层电路布局,因此易于控制逻辑资源的耗用,节省面积。
2、写出结构体的一般语言格式并说明其作用ARCHITECTURE 结构体名 OF 实体名 IS[说明语句]BEGIN[功能描述语句]END ARCHITECTURE 结构体名;结构体用于描述电路器件的内部逻辑功能或电路结构。
使用的语句有顺序语句和并行语句。
3、信号和变量的区别?①信号赋值至少有δ延时,而变量赋值没有延时。
eda期末考试题目及答案
eda期末考试题目及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)的主要功能是什么?A. 电路设计B. 电路仿真C. 电路测试D. 所有以上选项2. 在EDA中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 硬件开发语言D. 硬件描述逻辑3. 下列哪个不是EDA工具的主要组成部分?A. 原理图编辑器B. 仿真器C. 编译器D. 汇编器4. 在EDA中,FPGA代表什么?A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列5. 以下哪个是EDA设计流程中的必要步骤?A. 原理图绘制B. 电路板布局C. 焊接D. 电路测试二、填空题(每空2分,共20分)6. 常见的EDA软件有______、______和______。
答案:Cadence, Altium Designer, Mentor Graphics7. 在EDA中,______是一种用于设计和验证数字电路的图形化编程语言。
答案:VHDL8. EDA工具可以帮助工程师进行______和______。
答案:设计优化,性能分析9. 与ASIC相比,FPGA的优点是______和______。
答案:灵活性高,开发周期短10. 在EDA设计中,布局和布线是实现______的关键步骤。
答案:电路板物理结构三、简答题(每题10分,共30分)11. 简述EDA在现代电子设计中的重要性。
答案:EDA在现代电子设计中的重要性体现在它能够提高设计效率,降低成本,缩短产品上市时间,同时提高设计的可靠性和可维护性。
12. 解释什么是仿真,并说明在EDA设计流程中仿真的作用。
答案:仿真是一种模拟实际电路在不同条件下行为的技术。
在EDA 设计流程中,仿真用于验证设计的正确性,预测电路的性能,以及发现潜在的问题,从而在实际制造之前进行必要的修改。
13. 描述FPGA与ASIC在应用上的主要区别。
答案:FPGA是一种可编程的硬件,可以在设计完成后重新配置,适用于需要快速原型开发和灵活设计调整的场景。
EDA卷参考答案
试题2009年~ 2010年第二学期课程名称:EDA技术及应用专业年级:考生学号:考生姓名:试卷类型:A卷■ B卷□ 考试方式: 开卷□ 闭卷■………………………………………………………………………………………………………仅供参考,电信0901、0902班版权所有一、填空(20×1分=20分)1 SOPC 英文:System on a Programmable Chip和中文:片上可编程系统。
2 VHDL英文:Very-High-Speed Integrated Circuit Hardware Description Language 和中文:高速硬件描述语言。
3 FPGA英文:Field-Programmable Gate Array 和中文:现场可编程门阵列。
4 A为实数类型的变量,A:=16#0E.04#E+2; --A的值为3588.00。
5 元件例化的作用为当前的设计实体引入一个新的低一级的设计层次由元件定义语句和元件例化语句两部分组成6 VHDL源程序的文件名应与实体名相同,文件类型是(后缀名).VHD,否则无法通过编译。
7 VHDL的数据对象包括变量、信号和常数,它们是用来存放各种类型数据的容器。
8 VHDL允许定义两种不同类型的数组,即限定性数组和非限定性数组。
9 标准逻辑位有九种定义值‘X’表示强未知;‘1’表示强1;‘U’表示未初始化;‘W’表示弱未知;‘Z’表示高阻态。
10 一般硬件描述语言可以在三个层次上进行电路描述其层次由高到低依次可分为行为级,RTL级和门电路级。
二、选择题(10×2分=20分)1 结构体中的语句是B,进程中的语句是。
A 顺序的,并行的;B并行的,顺序的;C 顺序的,顺序的;D 并行的,并行的。
2 进程中的信号赋值语句,其信号更新是_C___。
A 按顺序完成;B 比变量更快完成;C 在进程的最后完成;D 都不对。
EDA试卷及答案
EDA試卷答案一、单项选择题2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→___B__→编程下载→硬件测试。
P14A. 功能仿真B. 时序仿真C. 逻辑综合D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___。
P25A. 软IPB. 固IPC. 硬IPD. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,__D__是错误的。
P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_A__实现其逻辑功能。
P42A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__B__。
P274A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中_A__不属于面积优化。
P238A. 流水线设计B. 资源共享C. 逻辑优化D. 串行化8. 进程中的信号赋值语句,其信号更新是__B___。
P134A. 立即完成B. 在进程的最后完成C. 按顺序完成D. 都不对9. 不完整的IF语句,其综合结果可实现__A__。
EDA试题题库及参考答案
一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库B.VITAL库C.STD库D.WORK工作库8.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
EDA期末考试卷及参考答案
华侨大学本科考试卷2015 —2016 学年第一学期(开卷 A)参考答案学院信息学院课程名称电子设计与自动化考试日期姓名专业学号题号一二三四五总分得分一、基本概念与基本知识(各3分,共30分,直接将答案写在试卷上)错1个扣1分1、写出下列缩写的英文含义:a. EDA:电子设计自动化;b. SOC:片上系统;c.FSM:有限状态机。
2、EDA设计开发流程主要包括设计输入、综合、适配(布局布线)和仿真等步骤。
3、IP指知识产权核,可分为软IP、硬IP和固IP。
4、面向FPGA的EDA工具大致可以分为设计输入编辑器、 HDL综合器、仿真器、适配器(布局布线器)以及下载器等五个模块。
5、硬件描述语言是EDA技术的重要组成部分,目前常用的HDL主要有 VHDL 、 Verilog 、 System C 、和 System Verilog 。
6、VHDL定义了逻辑操作符、关系操作符、算术操作符和省略赋值操作符四种运算操作符。
7、VHDL的信号(SIGNAL)是一种数值的容器,不仅可以容纳当前值,也可以保留历史值。
8、VHDL的顺序语句只能出现进程、函数和过程中,是按源文件书写的的顺序自上而下、一条一条地执行。
9、速度优化中常用的技术有流水线设计和关键路径法。
10、用VHDL语言设计的状态机,从信号输出方式上分,有 Moore型状态机和 Mealy 型状态机;从描述结构上分,有单进程状态机和多进程状态机;二、VHDL基础知识(各10分,共20分)1、下列VHDL程序段描述了一个上升沿触发的10进制加法计数器,仔细阅读找出程序中存在五处错误,并进行改正。
1 LIBRARY IEEE;2 USE IEEE.STD_LOGIC_1164.ALL;34 ENTITY CNT10 IS5 PORT ( CLK : IN STD_LOGIC ;6 Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0););7 END CNT10;8 ARCHITECTURE bhv OF CNT10 IS9 VARIABLE Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);10 BEGIN11 PROCESS (CLK) BEGIN12 IF CLK’EVENT AND CLK=’0’ BEGIN13 IF Q1 < 9 THEN14 Q1 <= Q1 + 1 ;15 ELSE16 Q1 <= (OTHERS => '0');17 END IF;18 END IF;19 END PROCESS;20 Q <= Q1;21 END bhv;各2分答:程序订正修改如下第3行:增加“USE IEEE.STD_LOGIC_UNSIGED.ALL;”语句第6行:删除其中一个错误的“;”,改为“Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));”第9行:Q1应定义为信号量,其中“VARIABLE”改为“SIGNAL”第12行:因为是上升沿触发,其中“CLK=’0’”改为“CLK=’1’”第12行:行末尾“BEGIN”改为“THEN”2、阅读下列VHDL程序段,画出相应的原理图(RTL级),并简要说明电路功能。
EDA选择题(含答案)
EDA课程选择题(含答案)一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为:__________DA.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路B.提供设计的最总产品——模型库C.以可执行文件的形式提交用户,完成了综合的功能块D.都不是4.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计5.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成6.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样7.下列状态机的状态编码,_________方式有“输出速度快、难以有效控制非法状态出现”这个特点。
eda原理及应用试题及答案
eda原理及应用试题及答案一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)的中文意思是:A. 电子设计自动化B. 电子文档自动化C. 电子数据自动化D. 电子设备自动化答案:A2. EDA技术不包括以下哪一项:A. 电路仿真B. PCB设计C. 电子制图D. 硬件描述语言答案:C3. 在EDA中,HDL指的是:A. 高级数据链接B. 高级设计语言C. 硬件描述语言D. 硬件开发语言答案:C4. 下列哪个不是EDA软件工具的功能:A. 逻辑综合B. 布局布线C. 代码编译D. 时序分析答案:C5. 在EDA中,用于描述数字逻辑电路行为的HDL是:A. VerilogB. VHDLC. C语言D. Java答案:A6. EDA技术在以下哪个领域应用最为广泛:A. 软件开发B. 机械设计C. 电子设计D. 建筑设计答案:C7. 以下哪个不是EDA工具所支持的仿真类型:A. 功能仿真B. 时序仿真C. 物理仿真D. 行为仿真答案:C8. 在EDA设计流程中,通常最后进行的步骤是:A. 逻辑综合B. 布局布线C. 编译D. 测试答案:D9. 以下哪个不是EDA设计流程中的步骤:A. 需求分析B. 逻辑设计C. 电路测试D. 市场调研答案:D10. EDA技术可以提高以下哪方面的效率:A. 电路设计B. 产品销售C. 客户服务D. 物流管理答案:A二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计师在没有实际硬件的情况下对电路进行测试和验证。
答案:仿真2. 在EDA中,______是一种高级的编程语言,用于描述和设计电子系统。
答案:硬件描述语言3. 逻辑综合是将______转换为门级网表的过程。
答案:HDL代码4. PCB设计中,EDA工具可以帮助设计师进行______和______。
答案:布局;布线5. 时序分析是确保电路在规定的______内正确工作的分析。
EDA精品课程试卷答案1
EDA精品课程试卷答案1《EDA技术》模拟试卷⼀参考答案:⼀、填空题(17空,每空2分,共34分)1、ASIC直译为___专⽤集成电路__________________________________2、EDA即_____电⼦设计⾃动化______________________________________。
3、CPLD和FPGA统称为_____⾼密度可编程逻辑器件______________4、MAX7000系列是Altera公司⽬前销量最⼤的产品,属于⾼性能/⾼密度的_CPLD___5、FLEX10K系列是Altera公司推出的主流产品,属于⾼密度,⾼速度的_FPGA____6、可编程逻辑器件的设计过程可以分为四个步骤_设计输⼊__,_设计实现____,_设计校验__,_下载编程_________7、⽬前应⽤最⼴泛的HDL(硬件描述语⾔)有___VHDL__,__Verilog-HDL_______8、MAX+plus提供了_CPLD/FPGA__的设计,仿真和烧写的环境,是⽬前使⽤极为⼴泛的EDA开发⼯具之⼀.9、构成⼀个完整的VHDL语⾔程序的五个基本结构是_实体__,_结构体__,_库____,_程序包__,__配置_。
⼆、选择题(21空,每空2分,共42分)1、LIBRARY ___A____;USE IEEE.STD_LOGIC_1164.ALL;A. IEEEB. STDC.WORK2、ENTITY counter ISPORT( Clk : IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));END ___B___________;A. counter23B. counterC. work3、ENTITY counter ISPORT( Clk : IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));…………….ARCHITECTURE a OF __B______ IS4、ARCHITECTURE a OF mux4 ISBEGIN…………………END ___A___;A.. aB. bC. c5、LIBRARY IEEE;USE IEEE.______A_____.ALL;A. STD_LOGIC_1164B. IEEE_LOGIC_1164C. WORK_LOGIC_11646、下列是⼀个四选⼀的数据选择器的实体,S,A,B,C,D是输⼊端,Y是输出端ENTITY multi_4v IS PORT(S :__A____ STD_LOGIC_VECTOR (1 DOWNTO 0);A,B,C,D :___A___ STD_LOGIC;Y :__B_____ STD_LOGIC);END multi_4v;A. IN B . OUT C. BUFFER7、下⾯是⼀个计数器的实体,clk是输⼊端,q是输出端ENTITY countclr ISPORT(clk :__A_____ STD_LOGIC;q :____C_____ STD_LOGIC_VECTOR(7 DOWNTO 0)); END countclr;ARCHITECTURE one OF countclr ISBEGIN………………A. INB. OUTC. BUFFER8、ARCHITECTURE one OF multi_4v IS_B_______……..END one;9、PROCESS(clk)V ARIABLE qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); ___C______IF clk'event AND clk='1' THEN……………….END PROCESS;A. INB. ENDC. BEGIN10、CASE D ISWHEN 0__A____ S___C____"0000001"; --0A. =>B. >=C. <=11、IF clr='0' THEN qtmp:="00000000";ELSE qtmp:=qtmp+1;____B____;A. END PROCESSB. END IFC. BEGIN12、IF j='0' AND k='0' THEN NULL;__C_____ j='0' AND k='1' THENqtmp<='0';A. ELSEIFB. ELSE IFC. ELSIF13、PROCESS(clk)V ARIABLE qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINIF clk'event AND clk='1' THENIF clr='0' THEN qtmp__C___"00000000";A. =>14、PROCESS(clk)___B______ qtmp: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF clk'event AND clk='1' THENIF clr='0' THEN qtmp<="00000000";A. V ARIABLEB.SIGNALC. BEGIN15、下⾯是循环移位寄存器的部分程序dout(4 DOWNTO 1)<=dout(3 DOWNTO 0);__C_____<=dout(4);A. dout(1)B. dout(3)C. dout(0)16、进程(process)语句是___B_____A. 顺序语句B. 并⾏语句C. 其它17、IF语句是__A__A. 顺序语句B. 并⾏语句C. 其它三、画出下列程序的原理图,并说明其功能。
eda期末考试题及答案
eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。
答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。
2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。
最新《EDA技术与应用》A卷及答案资料
汕头大学成人教育学院二0一0年春季学期期末考试试卷试卷编号:A卷闭卷课程名称:《EDA技术与应用》班级专业:姓名:学号:一、填空题(20分,每小题1分)1.VHDL的中文名称是__超高速集成电路硬件描述语言_____________。
2.用EDA技术进行电子系统设计的目标是最终完成 asic________ 的设计与实现。
3.可编程器件分为 fpga__ 和 _cpld______ 。
4.标准逻辑位数据类型常用的数值有 _1__ 、 __0_ 、 _z__ 等。
5.在VHDL语言中可以使用的数据类型有: _位____ 、 __标准逻辑位__________、___布尔_____。
6.完整的条件语句将产生 _组合_______ 电路,不完整的条件语句将产生 __时序______电路。
7.信号的赋值符号为 <= ___ 变量的赋值符号为 =___ 。
8.随着EDA技术的不断完善与成熟, ___自顶向下______的设计方法更多的被应用于VHDL设计当中。
9.EDA设计过程中的仿真有三种,它们是___行为_____ 仿真、 _逻辑______ 仿真和 __时序____ 仿真。
10.目前国际上较大的PLD器件制造公司有 __altera________ 和 ___xilinx______ 公司。
二、简答题(20分,每小题4分)1、与HDL文本输入法相比较,原理图输入法有何优点?2、写出结构体的一般语言格式并说明其作用3、信号和变量的区别?4、写出PROCESS语句结构的一般表达格式。
5、写出五种以上的VHDL的预定义数据类型。
三、程序注解(20分,每空1分)library ieee; __________ use ieee.std_logic_1164.all; _____________ ENTITY aa1 is ________ __ port(a,b,s:in bit; _______________________________end aa1; ___________________________ architecture one of aa1 is _________ y<=a when s='0' else b; ____________________ end one; _____ ________________________________ 逻辑功能: ____________________________signal s1 : bit ; _________________________ begin ________________________________ process (clk,d) _______________________ beginif (clk = ‘1’) _____________________________ then ______________________________________ s1 <= d; ________________________________ end if; _________________________________ q <= s1 ; _____________________________ end process; ___________________________ end bo; __________________________ 逻辑功能: __________________四、VHDL语言编程题(1、2小题10分,3小题20分)1、编写一个D触发器的硬件描述语言程序,要求实现上升沿触发。
(完整版)EDA期末考试题A卷
下面程序是一个10线-4线优先编码器的VHDL描述,试补充完整。
系班姓名座号
……………密……………封……………线……………密……………封……………
LIBRARY__IEEE________;
USE IEEE.________STD_LOGIC_1164_____________.ALL;
ELSIF (din(7)='0') THENSIN<= "0111" ;
ELSIF (din(6)='0') THENSIN<= "0110" ;
ELSIF (din(5)='0') THENSIN<= "0101" ;
ELSIF (din(4)='0') THENSIN<= "0100";
ELSIF (din(3)='0') THENSIN<= "0011" ;
D. 三态控制电路
10.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。( D )
A. idata <=“00001111”
B. idata <= b”0000_1111”;
C. idata <= X”AB”
D. idata <=16”01”;
ELSIF (din(2)='0') THENSIN<= "0010" ;
ELSIF (din(1)='0') THENSIN<= "0001" ;
eda试题及答案
eda试题及答案一、单选题(每题2分,共10分)1. EDA的全称是:A. 电子数据交换B. 电子设计自动化C. 电子文档自动化D. 电子设备自动化答案:B2. 在EDA中,HDL指的是:A. 高级数据语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 逻辑综合B. 布局布线C. 编译代码D. 时序分析答案:C4. 在EDA设计流程中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C5. 在EDA设计中,仿真测试的目的是:A. 验证设计的正确性B. 优化设计的性能C. 检查设计的安全性D. 以上都是答案:A二、多选题(每题3分,共15分)6. EDA工具通常包括以下哪些功能?A. 原理图捕获B. 波形仿真C. 代码编译D. 硬件调试答案:ABD7. 在EDA设计中,以下哪些是常见的设计阶段?A. 需求分析B. 逻辑设计C. 物理设计D. 测试验证答案:ABCD8. 以下哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog9. 在EDA设计流程中,以下哪些是布局布线阶段的任务?A. 确定电路的物理布局B. 优化电路的布线C. 进行时序分析D. 编写测试代码答案:ABC10. 以下哪些是FPGA设计的优势?A. 快速原型验证B. 灵活性高C. 成本较低D. 易于集成答案:ABCD三、判断题(每题2分,共10分)11. EDA工具可以完全替代人工进行电路设计。
()答案:×12. HDL语言可以用来描述数字电路的行为。
()答案:√13. FPGA设计不需要进行时序分析。
()答案:×14. EDA设计流程中,仿真测试是最后一步。
()答案:×15. 逻辑综合是将HDL代码转换为门级网表的过程。
EDA技术考试试题A及详细答案
EDA技术考试试题A及详细答案卷号:A 时间:120 分钟 2008 年6 月专业:电子信息工程学号:姓名:一、填空题(20分,每题2分)1、 VHDL语言通常包含实体,构造体,(),()和库五部分2、载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。
3、零件封装是指()。
4、 EDA技术也称(),是在()技术的基础上发展起来的计算机软件系统。
5、 MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图形方式、()和()输入设计文件,可以编译并形成各种能够下装到EPROM和各种ALTERA 器件的文件,还可以进行仿真以检验设计的准确性。
6、顺序描述语句中,()在MAX-PLUS中不被支持。
7、 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。
其中常数对应代表数字电路中的电源和接地等。
信号对应物理设计中的()。
8、 FPGA可分为两大类,分别是 SRAM-BASE和Anti-Fuse 设计时一般选用()。
9、 100mil=()mm,7.62mm=( )mil。
10、 PCB封装元件实际上就是()。
二、名词解释题(20分,每题4分)1 PLD/FPGA2 焊盘(Pad)3覆铜4 SOC5 自顶向下的/自下而上的设计方法三、选择题(15分,每题3分)1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() A.PgUpB.TabC.Space barD.Esc2.Design/Options菜单中下列选项不属于开关选项的是:()A.Snap GridB.Hidden PinsC.Electrical GridD.Title block3.目前在我国常见的PLD生产厂家有XILINX、ALTERA、ACTEL、ATMEL、LATTIC、AMD和MICROCHIP等等,其中XILINX和ALTERA为两个主要生产厂,XILINX的产品为FPGA,ALTERA的产品称为CPLD,各有优缺点,但比较起来ALTERA的产品略有长处,下列说法不正确的是() A.ALTERA公司的产品价格稍微便宜B.对于SRAM结构的产品,ALTERA公司PLD的输出电流可达25MA,而XILINX 的FPGA只有16MAC.ALTERA公司的PLD延时时间可预测,弥补了FPGA的缺点D.XILINX公司的FOUNDATION软件使用简单但是不如ALTERA公司的开发软件MAX+PLUS功能全。
西华大学课程考核参考答案(卷)
西华大学课程考核参考答案(卷)课程名称:运作营管理考试时间:分钟课程代码:双学位试卷总分:分一、填空(每空分,共分).自然.可变流水线成组流水线混合流水线.看管产量工序单件时间.查定能力计划能力.投入提前二、判断题(每小题分,共分).√ .× .√ .× .√ .× .× .√ .×.× .√ .× .× .× .√ .√ .×.√ .× .√三、单项选择(每小题分,共分)四、计算题(每小题分,分).解:假定产品台时定额=∑(×)=(××××)=以假定产品表示的车床组生产能力=设备台数×有效时间假定产品台时定额=×=具体产品生产能力假定产品生产能力×产品占总产品的比重产品:×=;产品:×=产品:×=;产品:×=.解:()顺序移动=∑×(+) =()平行移动=∑+()×长=(+)+(-)×=()平行顺序移动=∑-()×∑() ×(+)()×(+)==.解:()令()=则有:()=()+,=+=()=()+,=+=()=()+,=+=()=(),=+=即结点⑤最早开始时间为。
()令()=()=,则有:()=()-,=-=()=(-,-=()={()-,,(),}=(-,-)=()={()-,,()-,}=(-,-)=即结点⑥最迟结束时间为。
五、简答题(分)答案要点:准时生产方式的基本思想是只在需要的时候,按需要的量生产所需的产品或提供所需的服务。
实现方法:适时适量生产、弹性配置作业人数、保证质量。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
西华大学课程考试参考答案( A卷)
课程代码:8400070试卷总分: 100 分
一、填空题参考答案及评分标准:(本大题共6小题10空,每空3分,总计30分)
评分标准:填对一空得3分,不填或填错得0分。
参考答案:
1. EDA的中文全称为电子设计自动化,FPGA的中文全称为现场可程序门阵列。
2. 一个大型的组合电路总延时为120ns,采用流水线将它分三个较小的组合电路,理论
最高工作频率可达25MHz。
3. 实现一个大量数据处理、存储的电路,应选ACEX1K(填ACEX1K或MAX700)器
件。
4.在以下的表达式或语句中选出正确的并将其番号填在空格处。
①4’b1110^4’b1101=4’h1111; ②8’b00101000=8’h28;
③reg b; assign b=a; ④reg [1:0]c ; always@(posedge clk) c[1:0]=a[1:0];
⑤{3{3’b110}}=6’B101010; ⑥4’b10ZX =4’b10Zx;
正确答案②,④,⑥。
5.时序仿真和功能仿真中,没有包含器件时延参数的是功能仿真。
6、FPGA/CPLD设计流程中设计输入主要有:、HDL语言、原理图、波形图。
1、电子设计自动化、现场可程序门阵列
2、25MHz
3、ACEX1K
4、②、④、⑥
5、功能仿真
6、HDL语言、原理图(只要意思正确即可)
注:如有错别字,但不影响该空正确答案的判断只扣1分。
二、判断题参考答案及评分标准:(本大题共10小题,每小题3分,总计30分)
评分标准:判断正确一道得3分,不判断或判断错得0分。
参考答案:
1、MAXII和MAX7000器件都有LUT。
------------------------------------------------(V )
2、Verilog HDL硬件描述方式中有行为描述方式。
---------------------------------(V )
3、一个电路设计中使用了一个时钟,它最好锁到全局时钟管脚上。
----------(V )
4、initial和always语句对应的语句或表达式都可反复执行。
-----------------(X )
5、组合与时序混合电路要正常工作只需满足保持时间。
----------------------(X )
6、FPGA的大规模数字电路设计最好采用自顶向下设计方法。
--------------(V )
7、采用LPM函数设计的电路可移植性会变差。
------------------------------- -(V )
8、SOC的优点之一可以有效提高电路性能。
------------------------------- -----(V )
9、FPGA的配置可以采用JTAG和PS方式。
--------------------------- --------(V )
10、在有限状态机的设计中,没有用的状态必须要强制回到有效状态中。
---(V )
三、仿真与电路设计参考答案及评分标准:(本大题共4小题,每小题10分,总计40分)
评分标准:按程序各部分给分。
语法错误一处扣1分,3分扣光为止。
注:不要求一定要使用题目中的符号写代码。
1、画出两个inital 语句各自描述的波形图,时间单位为1ns (10分)
1)initial
begin
#2 clr=0;
#1 clr=1;
#6 clr=0;
end
1、参考答案: 1)
赋值正确 2分 时间标对3分
2)initial
begin
#2 clr<=0;
#1 clr<=1;
#6 clr<=0;
end
2)
赋值正确 2分 时间标对3分
2、采用LPM 函数设计一个宽度为8位,不带符号、3级流水线的加法器(10分)
2、参考答案:
module adder(a, b, c,clk,cot); ----------------1分
input [7:0]a,b;
input clk;
output [7:0]c;
output cot; ----------------2分
LPM_ADD_SUB
X 0 1 0
2ns 3ns 9ns X
1 1ns 2ns 6ns
adder4 (.dataa(a) ,.datab(b),.clock(clk)
,.result(c),.cout(cot)); ----------------3分
defparam adder5.LPM_REPRESENTATION="UNSIGNED";
defparam adder4.LPM_WIDTH=8;
defparam adder4.LPM_PIPELINE=3; ----------------3分 endmodule----------------1分
3、设计一个有同步清零、使能、装载功能的4位减1计数器(来一个时钟上升沿计数
器加1)。
清零低有效,使能、装载高有效。
装载信号有效时将6(十进制数)装入计数器。
功能优先级为清零>装载>使能。
(10分)
3、参考答案:
module conter(clk, clr, en, load, q); ----------------1分
input clk,clr,en,load;
output [3:0]q; ----------------2分
reg [3:0]q; ----------------1分
always @(posedge clk)
if (clr==0)
q[3:0]=0;
else if (load==1)
q[3:0]=4’b0110;
else if (en==1)
q[3:0]=q[7:0]-1; ----------------5分
endmodule----------------1分
4、设计如图所示的双向驱动电路图,en 为高电平打开三态门(10分
4、参考答案:
Module bitri(tri_inout, out,in,en,b); --------------1分
inout tri_inout;
input in,en,b;
output out; --------------3分
assign tri_inout=en?in:’bz;
assign out=tri_inout^b; --------------5分
endmodule --------------1分
tri_inout b。