实验一 寄存器实验

合集下载

计算机寄存器实验报告

计算机寄存器实验报告

一、实验目的1. 理解计算机寄存器的概念、作用和分类;2. 掌握寄存器在计算机系统中的基本操作;3. 熟悉寄存器的控制信号及其工作原理;4. 培养实验操作能力和分析问题能力。

二、实验环境1. 实验设备:计算机组成原理实验箱、计算机、Proteus仿真软件;2. 实验软件:Proteus仿真软件、模型机仿真软件;3. 实验环境:实验室。

三、实验内容1. 寄存器基本概念及分类;2. 寄存器操作实验;3. 寄存器控制信号实验;4. 寄存器在计算机系统中的应用实验。

四、实验步骤1. 寄存器基本概念及分类实验(1)打开Proteus仿真软件,创建一个新的项目;(2)在项目中选择计算机组成原理实验箱中的寄存器模块;(3)观察寄存器的结构,了解寄存器的分类(如累加器、寄存器组、地址寄存器等);(4)总结寄存器的作用,如暂存数据、控制指令等。

2. 寄存器操作实验(1)在Proteus仿真软件中,搭建一个简单的寄存器操作电路;(2)设置输入数据,观察寄存器的输出;(3)通过改变输入数据,验证寄存器的存储功能;(4)总结寄存器操作的基本步骤。

3. 寄存器控制信号实验(1)在Proteus仿真软件中,搭建一个包含控制信号的寄存器电路;(2)观察控制信号对寄存器操作的影响;(3)通过改变控制信号,验证寄存器的读写功能;(4)总结寄存器控制信号的作用和意义。

4. 寄存器在计算机系统中的应用实验(1)在Proteus仿真软件中,搭建一个简单的计算机系统电路;(2)观察寄存器在计算机系统中的操作过程;(3)分析寄存器在计算机系统中的作用,如数据暂存、指令控制等;(4)总结寄存器在计算机系统中的应用。

五、实验结果与分析1. 通过实验,掌握了寄存器的基本概念、作用和分类;2. 熟悉了寄存器的操作过程,包括输入、输出、读写等;3. 了解寄存器控制信号的作用,以及它们对寄存器操作的影响;4. 分析了寄存器在计算机系统中的应用,如数据暂存、指令控制等。

寄存器实验实验报告

寄存器实验实验报告

寄存器实验实验报告寄存器实验实验报告一、引言寄存器是计算机中一种重要的数据存储器件,用于暂时存储和传输数据。

在计算机系统中,寄存器扮演着关键的角色,能够提高计算机的运算速度和效率。

本实验旨在通过实际操作,深入了解寄存器的工作原理和应用。

二、实验目的1. 理解寄存器的概念和作用;2. 掌握寄存器的基本操作方法;3. 学习寄存器在计算机系统中的应用。

三、实验器材和方法1. 实验器材:计算机、开发板、示波器等;2. 实验方法:通过编程控制,利用开发板上的寄存器进行数据存储和传输。

四、实验步骤1. 连接开发板和计算机,并进行相应的驱动安装;2. 打开开发板的开发环境,编写程序代码;3. 设置寄存器的初始值,并将数据存入寄存器;4. 通过编程控制,将寄存器中的数据传输到其他设备或存储器;5. 进行数据读取和验证,确保寄存器的正常工作。

五、实验结果与分析经过实验,我们成功地使用寄存器进行了数据存储和传输,并通过读取数据进行了验证。

寄存器在计算机系统中起到了至关重要的作用,它可以快速暂存数据,提高计算机的运算效率。

在实际应用中,寄存器广泛用于存储指令、地址和数据等信息。

六、实验总结通过本次实验,我们深入了解了寄存器的工作原理和应用。

寄存器作为计算机系统中的重要组成部分,对于提高计算机的运算速度和效率起到了关键的作用。

掌握寄存器的基本操作方法,对于编程和计算机系统的理解都具有重要意义。

在今后的学习和工作中,我们将继续深入研究寄存器的相关知识,不断提升自己的技术水平。

七、参考文献[1] 计算机原理与接口技术. 李春葆, 刘燕, 张洪岩. 清华大学出版社, 2019.[2] 计算机组成与设计:硬件/软件接口. David A. Patterson, John L. Hennessy. 机械工业出版社, 2016.以上就是本次寄存器实验的实验报告,通过实际操作和实验结果的分析,我们对寄存器的工作原理和应用有了更深入的了解。

计算机组成原理实验报告-寄存器实验

计算机组成原理实验报告-寄存器实验

千里之行,始于足下。

计算机组成原理实验报告-寄存器实验计算机组成原理实验报告-寄存器实验》一、实验目的本次实验旨在通过设计和实现一个基本的寄存器,加深对计算机组成原理中寄存器的理解,并掌握寄存器在计算机中的应用。

二、实验设备及软件1. 实验设备:计算机2. 实验软件:模拟器软件Mars3. 实验材料:电路图、线缆、元器件三、实验原理寄存器是计算机的一种重要组成部分,用于存储数据和指令。

一个基本的寄存器通常由一组触发器组成,可以存储多个位的信息。

本实验中,我们需要设计一个16位的寄存器。

四、实验步骤1. 确定寄存器的结构和位数:根据实验要求,我们需要设计一个16位的寄存器。

根据设计要求,选择合适的触发器和其他元器件。

2. 组装寄存器电路:根据电路图,将选择好的元器件按照电路图连接起来。

3. 连接电路与计算机:使用线缆将寄存器电路连接到计算机的相应接口上。

4. 编写程序:打开Mars模拟器软件,编写程序来测试寄存器的功能。

可以编写一段简单的程序,将数据写入寄存器并读取出来,以验证寄存器的正确性。

5. 运行程序并测试:将编写好的程序加载到Mars模拟器中,并运行程序,观察寄存器的输出和模拟器的运行结果。

第1页/共3页锲而不舍,金石可镂。

五、实验结果在本次实验中,我们成功设计和实现了一个16位的寄存器,并进行了相关测试。

经过多次测试,寄存器的功能和性能良好,能够准确地存储和读取数据。

六、实验心得通过本次实验,我对寄存器的结构和工作原理有了更深入的了解。

寄存器作为计算机的一种重要组成部分,起着存储和传输数据的作用。

通过实际操作和测试,我更加清楚了寄存器在计算机中的应用和重要性。

在实验过程中,我遇到了一些问题,如电路连接不稳定、程序错误等,但通过仔细检查和调试,最终解决了这些问题。

这次实验也让我深刻体会到了学习计算机组成原理的重要性,只有深入理解原理并通过实践运用,才能真正掌握计算机的工作原理和能力。

通过这个实验,我有了更深入的认识和理解,对计算机组成原理的学习也更加系统和完整。

实验一:移位寄存器

实验一:移位寄存器

EDA与SOPC基础一一实验一: 通用移位寄存器的设计一、实验目的:学习使用QuartusII软件完成时序逻辑电路的设计, 掌握通用移位寄存器的基本设计方法。

二、实验内容:用VHDL设计一个多功能通用移位寄存器, 要求具有同步置位(load)、异步复位(clr)、无符号数左移(sel=11)、无符号数右移(sel=10)、循环左移(sel=01)、循环右移(sel=00)等六项基本功能。

结合UP-SOPC1000实验系统, 通过QuartusII软件对其进行仿真和硬件测试。

三、实验步骤:1.完成同步加载以及异步复位功能。

2.当输入sel=11时实现无符号左移功能。

3.当输入sel=10时实现无符号右移功能。

4.当输入sel=01时实现循环左移功能。

5.当输入sel=00时实现循环右移功能。

6.利用QuartusII软件对所写程序进行时序仿真与分析最后完成管脚琐定及在线编程。

四、VHDL语言程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity shift is --- 定义实体名为shift port (clk,load,clr: in bit; --- 定义输入输出端口date_in: in std_logic_vector(3 downto 0);set: in std_logic_vector(1 downto 0);date_out: out std_logic_vector(3 downto 0));end entity;architecture one of shift is --- 定义结构体名为one signal date :std_logic_vector(3 downto 0);beginprocess(clk,clr,set,date_in,load) --- 进程的开始, 主程序部分 beginif clr = '1' then date <= "0000";elsif clk'event and clk = '1' thenif load='1' then date <= date_in;elsecase set iswhen "11" => date <= date(2 downto 0) & '0' ; --- 无符号左移when "10" => date <= '0' & date(3 downto 1) ; --- 无符号右移when "01" => date <= date(2 downto 0) & date(3) ; --- 循环左移when "00" => date <= date(0) & date(3 downto 1) ; --- 循环右移when others => date <= "0000";end case;end if;end if;date_out <= date; --- 输出数据end process;end one;五、顶层电路框图及时序波形图六、引脚说明以及波形分析根据要求, 程序中clr是具有异步复位功能, 当为1时对系统进行复位, 其优先级最高;Load是同步置位功能, 当时钟上升沿来临时若此时load=1,则输出当前值;Clk是时钟信号, 上升沿有效;Sel为选通信号, 取值范围sel={00,01,10,11}分别对应循环右移, 循环左移, 无符号右移和无符号左移;从波形图中可以看出, 在异步复位信号clr为1的期间, 系统处于复位状态, 输出一直为0;在异步复位信号为0以及同步置位信号load为1期间, 也就是第三个上升沿之时, 系统输出当前的状态data_in=1101;接下来sel=00表示循环右移, 随着上升沿的到来输出从1101到1110再到0111, 这是循环右移的过程, 此后, 随着选通信号sel的变化, 输出随之而变化, 符合实验的要求。

寄存器的测试与调试实验

寄存器的测试与调试实验

寄存器的测试与调试实验寄存器是计算机中非常重要的组成部分之一,它能够存储和传输数据,是实现计算和控制的关键。

为了确保计算机系统的正常运行,寄存器的测试与调试是不可或缺的实验过程。

本文将介绍寄存器的测试和调试方法以及实验步骤。

一、测试方法1. 寄存器的功能测试功能测试是对寄存器进行基本功能验证的过程,主要包括以下几个方面:(1)读写功能测试:通过向寄存器写入数据,再从寄存器中读出数据,验证寄存器的读写功能是否正常。

(2)位操作功能测试:使用位操作指令对寄存器的各个位进行测试,检查每个位是否能够正确置位或清零。

(3)运算功能测试:通过对寄存器进行运算,例如加法、减法等,验证寄存器的运算功能是否正常。

2. 寄存器的边界测试边界测试是对寄存器在最大值和最小值边界情况下的表现进行测试,以验证寄存器能否正确处理边界条件。

例如对于一个8位寄存器,可以测试它在最大值255和最小值0的情况下是否能够正确地进行溢出和下溢处理。

3. 寄存器的异常测试异常测试是对寄存器在异常情况下的表现进行测试,以验证寄存器是否能够正确地检测和处理异常。

例如对于一个标志寄存器,可以测试它在某些特定条件下能否正确地置位或清零。

二、调试方法1. 寄存器的读写调试寄存器的读写调试主要是通过调试工具或者软件模拟器来实现的,可以逐步执行指令并观察寄存器的读写情况,检查是否存在读写错误或者异常。

在调试过程中,可以通过设置断点来暂停程序的执行,以便观察寄存器的值是否满足预期。

2. 寄存器的位操作调试位操作调试可以通过逐个操作寄存器的位来观察寄存器的变化情况,以确定位操作是否正确。

在调试过程中,可以使用调试工具提供的位操作命令来进行调试,例如设置位、清零位、翻转位等。

3. 寄存器的运算调试运算调试是通过在寄存器中执行运算指令并观察结果来验证寄存器的运算功能是否正常。

在调试过程中,可以逐步执行运算指令,并观察运算结果是否满足预期,同时还可以通过设置断点来逐步跟踪运算过程,以便更好地进行调试。

实验一、寄存器实验

实验一、寄存器实验

实验一、寄存器实验一、实验目的了解模型机中各种寄存器的结构、工作原理及其控制方法,掌握运算器中寄存器的数据传输方法和基本控制原理,为后续学习CPU中数据在各寄存器之间的传输做必要的知识储备。

二、实验要求使用CP226 实验平台,将要求的数据写入相关的寄存器,并能得到准确的实验结果。

三、实验内容利用CP226 实验平台上的K23-K16开关作为DBUS 的数据,K7..K0中的某些开关作为控制信号(控制方式见六),将K23-K16上的数据写入累加器A、工作寄存器W、数据寄存器组R0、R1、R2、R3中。

其具体内容如下(本实验为脱机实验):1.将二位学生学号的最后2位以BCD码的方式分别写入累加器A和工作寄存器W中,并将实验结果的局部贴图粘贴在实验报告上;2.将二位学生学号的最后2位以BCD码的方式分别写入R0和R1、R2和R3工作寄存器中,并将实验结果的局部贴图粘贴在实验报告上。

说明:假设某学生的学号是10112025,则最后两位是25,它的BCD码是25H,此时开关K23-K16提供的数据见表1-1所示。

表1-1:学号后两位为25时,K23..K16的开关数据(开关拨到上方为1,拨到下方为0)四、模型机概况CP226 模型机中包括了一个标准CPU 所具备所有部件,这些部件包括:运算器ALU、累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM,以及中断控制电路、跳转控制电路。

其中运算器和中断控制电路以及跳转控制电路用CPLD 实现,其它电路都是由离散的数字电路组成。

模型机为8 位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。

相比而言8 位机的实验减少了烦琐的连线,但其原理却更容易被学生理解、吸收(模型机的结构见附件1)。

计算机组成原理第一次实验报告

计算机组成原理第一次实验报告
K21
K20
K19
K18
K17
K16
0
1
0
1
0
1
0
1
置控制信号:
K11(RRD)
K10(RWR)
K1(SB)
K0(SA)
1
0
1
1
给出CLOCK脉冲上升沿。
(2) R?的读出
自己设置RRD、RWR、SB及SA信号,观察R?的红色指示灯及液晶显示内容。
读R0:
置控制信号:
K11(RRD)
K10(RWR)
数据输出选择器原理图
X2 X1 X0
输出寄存器
0 0 0
IN-OE外部中断
0 0 1
IA-OE中断向量
0 1 0
ST-OE堆栈寄存器
0 1 1
PC-OE PC寄存器
1 0 0
D-OE直通门
1 0 1
R-OE右移门
1 1 0
L-OE左移门
1 1 1
没有输出
三、实验内容
1、A、W的写入
按下表连线
连接
信号孔
µPC原理图
当RES=0时,µPC被清0;
当IREN=0时,在CK的上升沿,预置数据被打入µPC。指令总线(IBUS)上的数据可来自一片74HC245。
当IREN=1时,在CK的上升沿,µPC加1。
(二)程序计数器PC
程序计数器PC由2片74HC161组成,能完成加1和预置数功能。程序计数器的输出由74HC245保存,74HC245与74HC161的输出相连,74HC245(2)的输出连接地址总线,74HC245(1)的输出接到数据总线(当LDPC=0时)。
二、实验原理
(一)寄存器

寄存器实验实验报告

寄存器实验实验报告

寄存器实验实验报告一. 引言寄存器是计算机中重要的数据存储器件之一,用于存储和传输数据。

通过对寄存器进行实验,我们可以更好地理解寄存器的工作原理和应用。

本实验旨在通过设计和测试不同类型的寄存器,深入掌握寄存器的各种功能和操作。

二. 实验设计本实验设计了两个寄存器的实验,分别为移位寄存器和计数器寄存器。

1. 移位寄存器实验移位寄存器是一种特殊的串行寄存器,它能够实现对数据位的移位操作。

本实验设计了一个4位的移位寄存器,分别使用D触发器和JK触发器实现。

实验步骤如下:1) 首先,根据设计要求将4个D或JK触发器连接成移位寄存器电路。

2) 确定输入和输出端口,将输入数据连接到移位寄存器的输入端口。

3) 设计测试用例,输入测试数据并观察输出结果。

4) 分析实验结果,比较不同触发器类型的移位寄存器的性能差异。

2. 计数器寄存器实验计数器寄存器是一种能够实现计数功能的寄存器。

本实验设计了一个二进制计数器,使用T触发器实现。

实验步骤如下:1) 根据设计要求将多个T触发器连接成二进制计数器电路。

2) 设计测试用例,输入计数开始值,并观察输出结果。

3) 测试计数的溢出和循环功能,观察计数器的行为。

4) 分析实验结果,比较不同计数器位数的性能差异。

三. 实验结果与分析在实验过程中,我们完成了移位寄存器和计数器寄存器的设计和测试。

通过观察实验结果,可以得出以下结论:1. 移位寄存器实验中,无论是使用D触发器还是JK触发器,移位寄存器都能够正确地实现数据位的移位操作。

而使用JK触发器的移位寄存器在性能上更加优越,能够实现更复杂的数据操作。

2. 计数器寄存器实验中,二进制计数器能够准确地实现计数功能。

通过设计不同位数的计数器,我们发现位数越多,计数范围越大。

综上所述,寄存器是计算机中重要的存储器件,通过实验我们深入了解了寄存器的工作原理和应用。

移位寄存器和计数器寄存器都具有广泛的应用领域,在数字电路设计和计算机系统中起到了重要作用。

试验一_寄存器试验

试验一_寄存器试验

1实验一:寄存器实验实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A ,工作寄存器W ,数据寄存器组R0..R3,地址寄存器MAR ,堆栈寄存器ST ,输出寄存器OUT 。

实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

实验说明: 寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。

COP2000用74HC574来构成寄存器。

74HC574的功能如下:1. 在CLK 的上升沿将输入端的数据打入到8个触发器中74HC574工作波形图第一部分:A,W寄存器实验寄存器A原理图寄存器W原理图寄存器A,W写工作波形图连接线表- 2 -将22H写入A寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H置控制信号为:由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据22H被写入A寄存器。

将33H写入W寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H置控制信号为:按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W 寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据33H被写入W寄存器。

体会:1.数据是在放开CLOCK键后改变的,也就是CLOCK的上升沿数据被打入。

2.WEN,AEN为高时,即使CLOCK有上升沿,寄存器的数据也不会改变。

第二部分:R0,R1,R2,R3寄存器实验3- 4 -寄存器R 原理图寄存器R 写工作波形图连接线表将33H 写入R0寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33HR0寄存器。

放开CLOCK 键,CLOCK 由低变高,产生一个上升沿,数据33H 被写入R0寄存器。

计算机组成原理实验报告

计算机组成原理实验报告

实验1 通用寄存器实验一、实验目的1.熟悉通用寄存器的数据通路。

2.了解通用寄存器的构成和运用.二、实验要求掌握通用寄存器R3~R0的读写操作.三、实验原理实验中所用的通用寄存器数据通路如下图所示。

由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。

图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。

RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。

DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。

图2—3-3 通用寄存器数据通路四、实验内容1.实验连线连线信号孔接入孔作用有效电平2.寄存器的读写操作①目的通路当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表.通用寄存器“手动/搭接”目的编码②通用寄存器的写入通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下:通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下:③源通路当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表.通用寄存器“手动/搭接”源编码④ 通用寄存器的读出关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。

五、实验心得通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。

实验2 运算器实验一、实验目的掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能.二、实验要求完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用.三、实验原理实验中所用的运算器数据通路如图2-3—1所示。

ALU 运算器由CPLD 描述。

运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

组成原理实验一寄存器实验

组成原理实验一寄存器实验

组成原理实验一寄存器实验组成原理实验一寄存器实验一、实验目的1.深入理解寄存器的工作原理;2.掌握寄存器的使用方法;3.学习通过寄存器实现数据的存储和传输。

二、实验设备1.微处理器开发板;2.示波器;3.逻辑分析仪;4.编程器。

三、实验原理寄存器是计算机组成中的重要部件,主要用于暂时存储数据或指令。

根据功能不同,寄存器可分为输入寄存器、输出寄存器、指令寄存器和数据寄存器等。

在本实验中,我们将通过一个简单的四位寄存器来深入了解寄存器的工作原理。

四、实验步骤1.按照实验要求准备实验设备,并将微处理器的所有引脚通过编程器设置成输入或输出状态;2.将四位寄存器的输入引脚连接到微处理器的四个输入引脚上,将输出引脚连接到微处理器的四个输出引脚上;3.将一个周期性的方波信号加到四位寄存器的时钟引脚上,同时使用示波器观测输入引脚和输出引脚的波形;4.改变四位寄存器的输入值,并观察输出值的变化情况;5.重复步骤3和4,进一步验证四位寄存器的工作原理。

五、实验结果及分析1.在时钟信号的上升沿到达时,四位寄存器的输入值会被锁存到寄存器中,并在输出端显示出来。

因此,通过改变输入值,就可以实现数据的存储和传输;2.在一个工作周期内,只有在时钟信号的上升沿到达时,输入值才会被锁存到寄存器中。

在其他时间,输入值的变化不会影响到寄存器中的值。

因此,寄存器具有记忆功能。

六、实验总结本次实验通过四位寄存器,让我们更深入地了解了寄存器的工作原理和使用方法。

通过观测输入和输出波形的变化,我们验证了寄存器在数据存储和传输方面的重要作用。

同时,我们也掌握了如何通过编程器设置微处理器的引脚状态以及如何使用示波器和逻辑分析仪观测和分析实验波形。

本实验结果和预期相符,成功达到了教学目的。

七、思考题与实验改进意见1.在本实验中,我们使用的寄存器是静态寄存器,也就是只有在时钟信号的上升沿到达时才能进行数据的锁存。

那么,如果使用动态寄存器,是否还能保证数据的稳定性和可靠性呢?请同学们课下自行查阅相关资料进行了解。

移位寄存器实验_卢上游

移位寄存器实验_卢上游

移位寄存器实验三大队三营卢上游C022012020实验一: m 序列的采样实现(内容包括: 迹函数表示法、的陪集分解、m 序列的线性结构)(一)、算法思路1.n21Z *-的陪集分解 Step1:求出集合, 即找出1到中所有与互素的数。

Step2:求 的陪集分解。

采用遍历的方法, 取中的任意元素, 根据平移等价公式:存在整数使得成立, 找出与采样平移等价的序列对应的元素, 并都置为-1, 即取为一个陪集的代表元, 放入到集合中, 由此可知, 当遍历完后就可以得到所有陪集的代表元了, 即为集合的所有元素。

Set3: 取集合中所有元素、、……、, 对所给的n 级m 序列进行采样,得到、、……、, 即所有不同的n 级m 序列。

2.m 序列的线性结构Step1: 对于一个n 级m 序列取前2n 项, 代入递推关系式中, 求解方程组, 得到n 阶本原多项式。

如果能够取得所有的n 级m 序列的前2n 项,那么就能求得所有的n 阶本原多项式, 而获得所有的n 级m 序列, 实验(1)已经给出, 在这个实验中只给出了一个本原多项式。

Step2:对于求解方程组, 的取值, 采用给定一个数值, 使得对应于的二进制数的第位, 如果满足方程组, 则代入到中, 即为本原多项式, 如果不满足, 则, 直到。

3.迹函数表示法取为n 次本原多项式的根, 利用多项式表示法表示出中的所有元素, 对中每一个元素, 求(Tr(),Tr(),Tr(),… ,Tr(),…), 即可得到G (f )中的所有序列。

因为在编程时发现迹函数的化解无法实现, 所以参考了刘帅在这一块的作业。

(二)、实验结果1.n21Z *-的陪集分解 用书上的例3.4.4验证本程序的正确性。

利用程序, 我们对4级m 序列进行采样, 实验结果如下:2.m 序列的线性结构以书上129页19题为例:3.迹函数表示法我们以5次本原多项式为例对程序进行验证, 实验结果如下:实验二: 梅西迭代算法实现(内容包括: 周期序列极小多项式、由已知m序列获得全部n次本源多项式)1.算法思路(1)一般梅西迭代算法(求产生N长二元序列的最短移位寄存器) Step1:取初始值: 。

寄存器实验报告

寄存器实验报告

一、实验目的1. 理解寄存器在计算机系统中的作用和重要性。

2. 掌握通用寄存器组的设计方法和应用。

3. 通过实验,加深对寄存器读写操作的理解。

二、实验原理寄存器是计算机中用于临时存储数据和指令的存储单元,它具有数据存取速度快、容量小、易于控制等特点。

在计算机系统中,寄存器用于存放指令、数据、地址等,是CPU执行指令的重要基础。

三、实验内容1. 通用寄存器组实验(1)实验目的:了解通用寄存器组的用途、结构和工作原理。

(2)实验内容:- 观察通用寄存器组(如AX、BX、CX、DX等)的内部结构;- 学习寄存器读写操作的基本指令(如MOV、ADD、SUB等);- 通过编程,实现寄存器之间的数据交换和运算。

(3)实验步骤:- 使用C语言编写程序,实现寄存器之间的数据交换和运算;- 在计算机上编译并运行程序,观察实验结果。

2. 移位寄存器实验(1)实验目的:了解移位寄存器的结构、工作原理和应用。

(2)实验内容:- 观察移位寄存器(如74LS194)的内部结构;- 学习移位操作指令(如SHL、SHR等);- 通过编程,实现数据的串行/并行转换和构成环形计数器。

(3)实验步骤:- 使用C语言编写程序,实现数据的串行/并行转换和构成环形计数器;- 在计算机上编译并运行程序,观察实验结果。

3. 寄存器仿真实验(1)实验目的:通过仿真软件,加深对寄存器读写操作的理解。

(2)实验内容:- 使用Proteus仿真软件,搭建寄存器实验电路;- 观察寄存器读写操作时,内部信号的变化;- 分析实验结果,验证寄存器读写操作的正确性。

(3)实验步骤:- 在Proteus软件中搭建寄存器实验电路;- 编写测试程序,观察寄存器读写操作时,内部信号的变化;- 分析实验结果,验证寄存器读写操作的正确性。

四、实验结果与分析1. 通用寄存器组实验通过实验,我们了解了通用寄存器组的结构和工作原理,掌握了寄存器读写操作的基本指令。

实验结果表明,寄存器读写操作可以有效地提高程序执行速度。

寄存器的使用实验报告

寄存器的使用实验报告

一、实验目的1. 理解寄存器的概念和功能。

2. 掌握寄存器的使用方法和操作步骤。

3. 熟悉寄存器在实际应用中的重要作用。

4. 通过实验加深对寄存器原理的理解。

二、实验原理寄存器是一种用于存储和传输数据的基本电子元件,它由触发器组成,具有存储、读取、传输等基本功能。

寄存器在数字电路和计算机系统中起着至关重要的作用,广泛应用于数据处理、指令执行、地址寻址、数据传输等方面。

寄存器按功能可分为以下几种类型:1. 数据寄存器:用于暂存数据,如累加器、数据寄存器等。

2. 地址寄存器:用于存储指令或数据的地址,如程序计数器、基地址寄存器等。

3. 控制寄存器:用于存储控制信息,如指令寄存器、状态寄存器等。

4. 程序状态字寄存器:用于存储程序运行状态,如标志寄存器等。

本实验主要涉及数据寄存器的使用。

三、实验设备与器件1. 实验箱2. 74LS74 D触发器3. 74LS153 3-8译码器4. 74LS74 4位双向移位寄存器5. 74LS02 与非门6. 74LS08 与门7. 电源8. 接线端子9. 逻辑测试仪四、实验内容与步骤1. 实验一:数据寄存器的读写操作(1)搭建实验电路:根据实验原理图,连接74LS74 D触发器、74LS153 3-8译码器、74LS74 4位双向移位寄存器、74LS02 与非门、74LS08 与门等器件。

(2)设置初始状态:将74LS74 D触发器的Q端连接到74LS74 4位双向移位寄存器的并行输入端,将74LS153 3-8译码器的输出端连接到74LS74 4位双向移位寄存器的并行输出端。

(3)编写测试程序:编写程序,对74LS74 D触发器进行初始化,使数据寄存器中的数据为0。

(4)执行测试程序:运行测试程序,观察数据寄存器的读写操作是否正确。

2. 实验二:数据寄存器的移位操作(1)搭建实验电路:根据实验原理图,连接74LS74 D触发器、74LS74 4位双向移位寄存器、74LS02 与非门、74LS08 与门等器件。

寄存器实验报告

寄存器实验报告

寄存器实验报告实验目的:本实验旨在通过对寄存器的学习和实验操作,了解寄存器的基本概念、功能以及应用。

实验设备:1. 计算机2. 开发板3. 指示灯4. 连接线实验步骤:一、寄存器简介寄存器是计算机中的一种重要的存储器件,用于存储和传送数据。

它采用二进制编码进行操作,并且能够以不同的形式存在于各种计算机中。

寄存器通常由多个触发器级联实现,其中每个触发器能够存储一个二进制位。

根据其功能和结构的不同,寄存器可以分为通用寄存器、特殊功能寄存器等。

二、实验设备连接1. 将开发板与计算机通过连接线进行连接。

2. 将指示灯插入开发板上的相应引脚。

三、数据输入与显示1. 在计算机上编写相应的程序,通过控制寄存器将数据输入到开发板中。

2. 通过观察指示灯的状态,验证数据是否被正确地存储到寄存器中。

3. 修改输入的数据,观察指示灯是否能正确反映修改后的数据。

四、数据传送与处理1. 编写程序,将寄存器中的数据传送到其他相关设备中。

2. 通过观察设备的工作状态,验证数据是否能正确地传送和处理。

五、寄存器的运算1. 编写程序,对寄存器中的数据进行相应的运算操作,如加法、减法等。

2. 通过观察计算结果的正确性,验证寄存器的运算功能是否正常。

六、数据存储与读取1. 编写程序,将计算结果存储到寄存器中。

2. 通过读取寄存器中的数据,验证存储功能是否正常。

实验结果与分析:通过以上实验操作,我们成功地对寄存器的功能和应用进行了探究和验证。

通过数据的输入、传输、运算和存储等操作,我们可以清楚地认识到寄存器在计算机中的作用和重要性。

同时,我们也发现了寄存器在数据存储和传送过程中的高效性和可靠性。

结论:寄存器作为计算机中的重要存储器件,在数据的存储和传送方面发挥着重要的作用。

通过本次实验,我们对寄存器的基本概念、功能和运作原理有了深入的了解。

通过学习和实践,我们进一步增强了对计算机硬件和数据处理的认识,为今后的学习和研究打下了坚实的基础。

计算机组成原理实验教案

计算机组成原理实验教案

《计算机组成原理》实验教案计算机科学学院计算机系第一章实验项目一、寄存器实验实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

实验说明:寄存器的作用是用于保存数据的,因为我们的模型机是8位的,因此在本模型机中大部寄存器是8位的,标志位寄存器(Cy, Z)是二位的。

COP2000用74HC574来构成寄存器。

74HC574的功能如下:1. 在CLK的上升沿将输入端的数据打入到8个触发器中2. 当OC = 1 时触发器的输出被关闭,当OC=0时触发器的输出数据OC CLK Q7..Q0 注释1 X ZZZZZZZZ OC为1时触发器的输出被关闭0 0 Q7..Q0 当OC=0时触发器的输出数据0 1 Q7..Q0 当时钟为高时,触发器保持数据不变X D7..D0 在CLK的上升沿将输入端的数据打入到触发器中74HC574工作波形图2实验1:A,W寄存器实验寄存器A原理图寄存器W原理图寄存器A,W写工作波形图连接线表连接信号孔接入孔作用有效电平1 J1座J3座将K23-K16接入DBUS[7:0]2 AEN K3 选通A 低电平有效3 WEN K4 选通W 低电平有效4 ALUCK CLOCK ALU工作脉冲上升沿打入将55H写入A寄存器3按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

将66H写入W寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W 寄存器。

cpth实验仪寄存器实验原理

cpth实验仪寄存器实验原理

cpth实验仪寄存器实验原理CPTH实验仪寄存器实验原理导语:CPTH实验仪是一种常用于电子实验室的仪器,它通过寄存器的原理实现了对电路信号的检测和测量。

本文将从CPTH实验仪的寄存器实验原理角度进行介绍,以便更好地理解和应用该仪器。

一、寄存器的基本概念寄存器是一种用于存储和处理数据的电子元件,它由若干个触发器组成。

触发器是一种能够存储和改变电平状态的电路,具有稳定性和记忆性。

寄存器可以存储二进制数据,并在需要的时候输出给其他电路进行处理。

二、CPTH实验仪的结构和功能CPTH实验仪主要由输入端口、寄存器、控制电路和输出端口组成。

输入端口用于接收待测信号,寄存器用于存储信号,控制电路用于控制寄存器的工作状态,输出端口用于输出存储的信号。

三、CPTH实验仪的寄存器实验原理在CPTH实验仪中,寄存器起到了存储和处理信号的重要作用。

它通过触发器的工作原理实现了对信号的稳定存储和输出。

1. 触发器的工作原理触发器是寄存器的基本组成单元,它由若干个逻辑门电路组成。

常见的触发器有RS触发器、D触发器、JK触发器等。

触发器的工作原理是根据输入信号的不同,改变输出信号的状态。

2. 寄存器的工作原理寄存器是由多个触发器级联而成的电路,它的输入端口接收待测信号,输出端口输出存储的信号。

当待测信号进入寄存器时,寄存器的控制电路将信号存储到相应的触发器中。

寄存器的控制电路还可以控制寄存器的工作模式,如并行加载、串行加载、并行输出、串行输出等。

3. CPTH实验仪的寄存器实验原理CPTH实验仪通过控制寄存器的工作状态,实现了对电路信号的检测和测量。

它可以将待测信号存储到寄存器中,并通过输出端口输出给其他电路进行处理。

同时,CPTH实验仪还可以通过控制电路实现对寄存器的工作模式和加载/输出方式的选择。

四、CPTH实验仪的应用范围CPTH实验仪广泛应用于电子实验室中的电路测试和故障诊断。

它可以用于测量电路的电压、电流、频率等参数,对电路进行分析和判断。

《计算机组成原理》实验1寄存器试验,2运算器试验

《计算机组成原理》实验1寄存器试验,2运算器试验

实验指导书课程:计算机组成原理实验教师:班级:第一章系统概述1.1 实验系统组成第二章基础模块实验实验一寄存器实验实验目的:熟悉试验仪各部分功能。

掌握寄存器结构、工作原理及其控制方法。

实验内容:利用实验仪开关区上的开关sk23-sk16提供数据,其它开关做为控制信号,将数据通过DBUS写入OUT 寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。

实验原理:实验箱用74HC273 来构成寄存器。

(1)74HC273的功能如下:(2)实验箱中74HC273的连接方式:(3)实验逻辑框图12、打开实验仪电源,按CON单元的nRST按键,系统复位;如果EXEC键上方指示灯不亮,请按一次EXEC键,点亮指示灯,表示实验仪在运行状态。

3、利用开关和控制信号将数据通过DBUS写入OUT寄存器,并将OUT寄存器的内容送往扩展区通过数码管和发光二极管显示。

并写出将数据5FH写入OUT寄存器的操作过程。

实验二运算器实验实验目的:了解运算器的组成结构;掌握运算器的工作原理和控制方法。

实验内容:利用实验仪提供的运算器,通过开关提供数据信号,将数据写入寄存器A和寄存器B,并用开关控制ALU的运算方式,验证运算器的功能。

实验原理:(1)实验逻辑框图:信号说明:IN0~IN7:ALU数据输入信号ALU_D0~ALU_D7:ALU数据输出信号:寄存器A写信号,低电平有效。

当T1节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器A。

:寄存器B写信号,低电平有效。

当T2节拍信号到来,该信号有效时,IN0~IN7数据可以写入寄存器B。

:ALU计算结果读出信号,当T3节拍信号到来,该信号有效时,ALU计算结果送往ALU_D0~ALU_D7。

S3~S0,CN_I:ALU运算控制信号,控制ALU的运算方法。

T1,T2,T3:三个节拍信号,高电平有效,由con区的uSTEP按键控制,在运行状态时,依次按下uSTEP 键会依次发出T1、T2、T3节拍。

寄存器实验报告总结心得

寄存器实验报告总结心得

随着电子技术和计算机科学的飞速发展,寄存器作为数字系统中的基本组件,其重要性不言而喻。

本次寄存器实验,让我对寄存器有了更深入的了解,同时也锻炼了我的动手能力和解决问题的能力。

以下是我在实验过程中的心得体会。

一、实验背景寄存器是数字系统中用于存储和传输数据的临时存储单元,它由触发器组成,可以并行或串行地存取数据。

在计算机组成原理课程中,寄存器是不可或缺的一部分,它直接影响着计算机的性能和效率。

本次实验主要围绕移位寄存器展开,旨在让我们掌握移位寄存器的逻辑功能、工作原理以及在实际应用中的重要性。

二、实验内容1. 实验目的(1)了解移位寄存器的结构、功能和工作原理;(2)掌握移位寄存器的逻辑功能测试和使用方法;(3)学会移位寄存器的应用,如实现数据的串/并转换、构成环形计数器等。

2. 实验原理移位寄存器是一种具有移位功能的寄存器,其数据可以在时钟脉冲的作用下依次左移或右移。

根据移位寄存器存取信息的方式不同,可以分为串入串出、串入并出、并入串出、并入并出四种形式。

本实验选用4位双向通用移位寄存器,型号为74LS194或CC40194。

3. 实验步骤(1)搭建实验电路,包括74LS194芯片、时钟脉冲源、数据输入端等;(2)根据实验要求,设置移位寄存器的操作模式,如并行送数、右移、左移、保持及清零等;(3)通过数据输入端,向移位寄存器中写入数据;(4)观察移位寄存器的输出端,记录数据的变化情况;(5)分析实验结果,验证移位寄存器的功能。

4. 实验结果与分析(1)通过实验,我们成功搭建了移位寄存器实验电路,并实现了数据的串行输入、移位和并行输出;(2)实验结果表明,移位寄存器能够按照设定的操作模式,实现数据的左移、右移、保持和清零等功能;(3)通过观察实验现象,我们了解到移位寄存器在实际应用中的重要作用,如构成环形计数器、顺序脉冲发生器、串行累加器等。

三、实验心得1. 理论知识与实践相结合本次实验让我深刻体会到,理论知识与实践操作是相辅相成的。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南昌大学计算机组织与结构实验报告
实验一、寄存器实验
姓名:张民民学号: 6100412073 班级:计科123班
实验要求:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据
寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。

实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。

实验原理:
实验1:A,W寄存器实验
寄存器A原理图
寄存器W原理图
实验2:R0,R1,R2,R3寄存器实验
寄存器R原理图
寄存器R原理图
实验3:MAR地址寄存器,ST堆栈寄存器,OUT输出寄存器
寄存器MAR原理图
寄存器ST 原理图
寄存器OUT 原理图
实验步骤:
实验1:A ,W 寄存器实验
连接线表
连接 信号孔 接入孔 作用 有效电平 1 J1座 J3座 将K23-K16接入DBUS[7:0]
2 AEN K
3 选通A 低电平有效 3 WEN K
4 选通W 低电平有效 4 ALUCK
CLOCK
ALU 工作脉冲
上升沿打入
实验2:R0,R1,R2,R3寄存器实验
连接线表
实验3:MAR 地址寄存器,ST 堆栈寄存器,OUT 输出寄存器
连接 信号孔 接入孔 作用
有效电平 1 J1座 J3座 将K23-K16接入DBUS[7:0]
2 RRD K11 寄存器组读使能 低电平有效
3 RWR K10 寄存器组写使能 低电平有效
4 SB K1 寄存器选择B
5 SA K0 寄存器选择A
6 RCK
CLOCK
寄存器工作脉冲
上升沿打入
连接线表
连接信号孔接入孔作用有效电平
1 J2座J3座将K23-K16接入DBUS[7:0]
2 MAROE K14 MAR地址输出使能低电平有效
3 MAREN K15 MAR寄存器写使能低电平有效
4 STEN K12 ST寄存器写使能低电平有效
5 OUTEN K13 OUT寄存器写使能低电平有效
6 CK CLOCK 寄存器工作脉冲上升沿打入
实验结果及说明:
实验1:A,W寄存器实验
将55H写入A寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H
K23 K22 K21 K20 K19 K18 K17 K16
0 1 0 1 0 1 0 1
置控制信号为:
K4(WEN) K3(AEN)
1 0
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器A的黄色选择指示灯亮,表明选择A 寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

将66H写入W寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H
K23 K22 K21 K20 K19 K18 K17 K16
0 1 1 0 0 1 1 0
置控制信号为:
K4(WEN) K3(AEN)
0 1
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器W的黄色选择指示灯亮,表明选择W 寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据66H被写入W寄存器。

实验2:R0,R1,R2,R3寄存器实验
将11H写入R0寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据11H
K23 K22 K21 K20 K19 K18 K17 K16
0 0 0 1 0 0 0 1
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
1 0 0 0
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R0的黄色选择指示灯亮,表明选择R0寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据11H被写入R0寄存器。

将22H写入R1寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据22H
K23 K22 K21 K20 K19 K18 K17 K16
0 0 1 0 0 0 1 0
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
1 0 0 1
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R1的黄色选择指示灯亮,表明选择R1寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据22H被写入R1寄存器。

将33H写入R2寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据33H
K23 K22 K21 K20 K19 K18 K17 K16
0 0 1 1 0 0 1 1
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
1 0 1 0
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R2的黄色选择指示灯亮,表明选择R2寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据33H被写入R2寄存器。

将44H写入R3寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据44H
K23 K22 K21 K20 K19 K18 K17 K16
0 1 0 0 0 1 0 0
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
1 0 1 1
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器R3的黄色选择指示灯亮,表明选择R3寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据44H被写入R3寄存器。

读R0寄存器
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
0 1 0 0
这时寄存器R0的红色输出指示灯亮,R0寄存器的数据送上数据总线。

此时液晶显示DBUS: 11 00010001. 将K11(RRD)置为1, 关闭R0寄存器输出.
读R1寄存器
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
0 1 0 1
这时寄存器R1的红色输出指示灯亮,R1寄存器的数据送上数据总线。

此时液晶显示DBUS: 22 00100010. 将K11(RRD)置为1, 关闭R1寄存器输出.
读R2寄存器
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
0 1 1 0
这时寄存器R2的红色输出指示灯亮,R2寄存器的数据送上数据总线。

此时液晶显示DBUS: 33 00110011. 将K11(RRD)置为1, 关闭R2寄存器输出.
读R3寄存器
置控制信号为:
K11(RRD) K10(RWR) K1(SB) K0(SA)
0 1 1 1
这时寄存器R3的红色输出指示灯亮,R3寄存器的数据送上数据总线。

此时液晶显示DBUS: 44 01000100. 将K11(RRD)置为1, 关闭R3寄存器输出.
实验3:MAR地址寄存器,ST堆栈寄存器,OUT输出寄存器
将12H写入MAR寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H
K23 K22 K21 K20 K19 K18 K17 K16
0 0 0 1 0 0 1 0
置控制信号为:
K14(MAROE) K15(MAREN) K12(STEN) K13(OUTEN)
0 0 1 1
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器MAR的黄色选择指示灯亮,表明选择MAR寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据12H被写入MAR寄存器。

K14(MAROE)为0, MAR寄存器中的地址输出. MAR红色输出指示灯亮.
将K14(MAROE)置为1. 关闭MAR输出.
将34H写入ST寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据34H
K23 K22 K21 K20 K19 K18 K17 K16
0 0 1 1 0 1 0 0
置控制信号为:
K14(MAROE) K15(MAREN) K12(STEN) K13(OUTEN)
1 1 0 1
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器ST的黄色选择指示灯亮,表明选择ST寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据34H被写入ST寄存器。

将56H写入OUT寄存器
二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据56H
K23 K22 K21 K20 K19 K18 K17 K16
0 1 0 1 0 1 1 0
置控制信号为:
K14(MAROE) K15(MAREN) K12(STEN) K13(OUTEN)
1 1 1 0
按住CLOCK脉冲键,CLOCK由高变低,这时寄存器OUT的黄色选择指示灯亮,表明选择OUT寄存器。

放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据56H被写入OUT寄存器。

实验感想:
通过本次的A、W寄存器实验R0、R1、R2、R3寄存器实验及MAR地址寄存器、ST堆栈寄存器、OUT输出寄存器实验,使我对模型机中各种寄存器结构、工作原理及其控制方法有了深刻的了解。

相关文档
最新文档