EDA实验内容及要求

合集下载

《EDA技术》实验报告

《EDA技术》实验报告

《EDA技术》课程实验报告姓名:学号:班级:同组者:指导教师:信息科学与工程学院2013-2014学年第二学期《EDA技术》课程实验报告学生姓名:所在班级:电信1101班指导教师:老师记分及评价:一、实验名称实验1-3:简单数字电子钟的设计(原理图输入设计方法)二、任务及要求【基本部分】1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和异步清零功能。

【发挥部分】1、思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。

2、如何实现电子钟时分秒连续可调的功能?三、原理图1、如图3.1为24进制计数器原理图,2、如图3.2为60进制计数器的原理图,该图在24进制的基础上进行改进3、如图3.3为电子时钟原理图,4、图3.4a、3.4b分别为24、60进制原理图的封装元件1、g[3..0]OUTPUT s[3..0]OUTPUT图3.1 24进制计数器原理图2、g[3..0]OUTPUT s[3..0]OUTPUT图3.2 60进制原理图3、图3.3 数字电子时钟4、24jinzhi insten clr clk 24co co g[3..0]s[3..0]24jinzhi2insten clr clk 60co co g[3..0]s[3..0]3.4a 24进制原理图封装图 3.4b 60进制原理图封装四、仿真及结果分析1、图4.1 24进制时序仿真图2、图4.2 60进制时序仿真图3、图4.3 电子时钟时序仿真图五、小结在实验中需要注意的是创建的文件名需要和工程名字保持一致,若不一致,在进行功能仿真和时序仿真时会出现错误。

EDA实验报告

EDA实验报告

EDA实验报告班级:姓名:目录实验一:七段数码显示译码器设计 (1)摘要 (1)实验原理 (1)实验方案及仿真 (1)引脚下载 (2)实验结果与分析 (3)附录 (3)实验二:序列检测器设计 (6)摘要 (6)实验原理 (6)实现方案及仿真 (6)引脚下载 (7)实验结果与分析 (8)实验三:数控分频器的设计 (11)摘要 (11)实验原理 (11)方案的实现与仿真 (11)引脚下载 (12)实验结果及总结 (12)附录 (12)实验四:正弦信号发生器 (14)摘要 (14)实验原理 (14)实现方案与仿真 (14)嵌入式逻辑分析及管脚下载 (16)实验结果与分析 (17)附录 (18)实验一:七段数码显示译码器设计摘要:七段译码器是一种简单的组合电路,利用QuartusII的VHDL语言十分方便的设计出七段数码显示译码器。

将其生成原理图,再与四位二进制计数器组合而成的一个用数码管显示的十六位计数器。

整个设计过程完整的学习了QuartusII的整个设计流程。

实验原理:七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。

本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。

例如当LED7S 输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。

接有高电平段发亮,于是数码管显示“5”。

实验方案及仿真:I、七段数码显示管的设计实现利用VHDL描述语言进行FPGA上的编译实现七段数码显示译码器的设计。

运行QuartusII在G:\QuartusII\LED7S\下新建一个工程文件。

新建一个vhdl语言编译文件,编写七段数码显示管的程序见附录1-1。

EDA-实验报告

EDA-实验报告

实验一五人表决器设计一、实验目的1 加深对电路理论概念的理解3 加深计算机辅助分析及设计的概念4 了解及初步掌握对电路进行计算机辅助分析的过程二、实验要求制作一个五人表决器,共五个输入信号,一个输出信号。

若输入信号高电平数目多于低电平数目,则输出为高,否则为低。

三、实验原理根据设计要求可知,输入信号共有2^5=32种可能,然而输出为高则有15种可能。

对于本设计,只需一个模块就能完成任务,并采用列写真值表是最简单易懂的方法。

四、计算机辅助设计设A,B,C,D,E引脚为输入引脚,F为输出引脚。

则原理图如1所示图1.1 五人表决器原理图实验程序清单如下:MODULE VOTEA,B,C,D,E PIN;F PIN ISTYPE 'COM';TRUTH_TABLE([A,B,C,D,E]->[F])[0,0,1,1,1]->[1];[0,1,1,1,0]->[1];[0,1,0,1,1]->[1];[0,1,1,0,1]->[1];[1,0,1,1,1]->[1];[1,1,0,1,1]->[1];[1,1,1,0,1]->[1];[1,1,1,1,0]->[1];[1,1,1,0,0]->[1];[1,1,0,1,0]->[1];[1,1,1,1,1]->[1];[1,1,0,0,1]->[1];[1,0,0,1,1]->[1];[1,0,1,0,1]->[1];[1,0,1,1,0]->[1];END五、实验测试与仿真根据题目要求,可设输入分别为:0,0,0,0,0;1,1,1,1,1;1,0,1,0,0;0,1,0,1,1。

其测试程序如下所示:MODULE fivevoteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[0,0,0,0,0]->[X];[1,1,1,1,1]->[X];[1,0,1,0,0]->[X];[0,1,0,1,1]->[X];END测试仿真结果如图1.2所示:图1.2 五人表决器设计仿真图可知,设计基本符合题目要求。

eda综合实验

eda综合实验

综合设计实验课题一、设计目的1、掌握用VHDL硬件描述语言做数字电路综合设计的方法。

2、熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法并下载到目的芯片。

二、实验仪器ZY11EDA13BE试验箱三、设计实验内容(一) 七人表决器设计一个七人表决电路,当参与表决的七个人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过。

实验室,可用7个电平开关作为七个表决器的输入变量,输入“1”表示表决者“赞同”,输入“0”表示表决者“不赞同”。

1、编写表决器程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DECIDE7 ISPORT( EN,Rd :IN STD_LOGIC;D :IN STD_LOGIC_VECTOR(6 DOWNTO 0);Y :OUT STD_LOGIC;Q1,Q2 :OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END DECIDE7;ARCHITECTURE STR OF DECIDE7 ISBEGINPROCESS(D,Rd)V ARIABLE QN1,QN2:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINQN1:="0000";QN2:="0000";IF(Rd='0') THENQ1<="0000";Q2<="0000";Y<='0';ELSIF(Rd='1' AND EN='1') THENFOR I IN 6 DOWNTO 0 LOOPIF(D(I)='1') THENQN1:=QN1+1;QN2:=QN2;ELSIF(D(I)='0') THENQN1:=QN1;QN2:=QN2+1;END IF;END LOOP;IF(QN1>3) THENY<='1';ELSE Y<='0';END IF;Q1<=QN1;Q2<=QN2;END IF;END PROCESS;END STR;2、二选一数据选择器与二进制计数器结合动态扫描程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dtsm isport(cp,reset:in std_logic;cntsh,cntsl:in std_logic_vector(3 downto 0);cntout:out std_logic_vector(3 downto 0);sel:out std_logic_vector(2 downto 0) );end dtsm;architecture behav of dtsm issignal sec:std_logic_vector(2 downto 0);beginprocess(reset,cp)beginif(reset='0') thensec<="000";elsif(cp'event and cp='1')thenif(sec="001")thensec<="000";elsesec<=sec+1;end if;end if;end process;process(sec,cntsh,cntsl)begincase sec iswhen"000"=>cntout<=cntsl;when"001"=>cntout<=cntsh;when others=>cntout<="0000";end case;end process;sel<=sec;End behav;3、数码管显示译码器程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity bcddecoder isport(BCD:in std_logic_vector(3 downto 0);SEGOUT:out std_logic_vector(0 to 6)); end bcddecoder;architecture a of bcddecoder isbeginprocess(bcd)begincase bcd iswhen"0000"=>SEGOUT<="1111110";when"0001"=>SEGOUT<="0110000";when"0010"=>SEGOUT<="1101101";when"0011"=>SEGOUT<="1111001";when"0100"=>SEGOUT<="0110011";when"0101"=>SEGOUT<="1011011";when"0110"=>SEGOUT<="1011111";when"0111"=>SEGOUT<="1110000";when"1000"=>SEGOUT<="1111111";when"1001"=>SEGOUT<="1111011";when others=>SEGOUT<="0000000"; end case;end process;End a;(二)串行数字密码锁设计八位串行数字密码锁,当输入数码的位数与数码的值与开锁密码相同时,锁被打开;输入:数码开关D、试开锁信号TRY、读码按钮READ、复位信号RESET;输出:开锁状态显示LT。

EDA实验

EDA实验

实验二:EDA实验一、实验目的(1)了解EDA技术的发展、应用概述。

(2)掌握Multisim2001软件的使用,完成对电路图的仿真测试。

(3)熟悉元件的调用、编辑及参数设置的方法。

(4)掌握应用虚拟仪器测量静态工作点、电压增益、输入电阻和输出电阻的方法。

(5)学习应用软件仿真分析功能。

(6)巩固单管放大电路的相关知识。

二、实验电路三、实验软件与环境计算机、Multisim2001软件四、实验内容与步骤(1)调整和测量两级放大电路的静态工作点。

按图连接电路,检查无误后,接通电路。

调节电位器Rw(即R11),使晶体管T1(即Q1)的集电极电位UC1=10V,然后测量各晶体管各级的电压。

(2)测量无反馈时,两级放大电路在空载和带载两种情况下的电压放大倍数Au。

①空载如左图修改电路,输入1kHz,2mV(有效值)的正弦电压信号,用示波器监视输出电压的波形,在输出波形不失真的条件下,用交流毫伏表测量Uo',并计算开环电压放大倍数Au'。

②负载如右图修改电路,输入1kHz,2mV(有效值)的正弦电压信号,用示波器监视输出电压的波形,在输出波形不失真的条件下,用交流毫伏表测量Uo,并计算开环电压放大倍数Au。

(3)测定输入电阻Ri。

如图修改电路,调节输入正弦信号Us为1kHz,2mV(有效值),用示波器监视输出电压的波形在输出电压无失真的情况下用交流毫伏表测量Ui的值,计算输入电阻Ri。

(4)测定输出电阻Ro。

如图修改电路,分别测量当RL(即R10)=∞和RL=4.7kΩ时输出电压Uo'和Uo,计算输出电阻Ro。

(5)测量两级放大电路的通频带电路与测量在空载情况下的电压放大倍数所用电路一致。

Us为2mV(有效值)的正弦电压信号,首先测出中频1kHz时的输出电压值,然后分别提高和降低信号源Us的频率(注意保持Us的有效值为2mV不变),使输出电压下降为中频时的输出电压值的0.707倍,则所对应的频率分别为上限截止频率fH和下限截止频率fL。

EDA石倩倩 第一次实验

EDA石倩倩  第一次实验

实验一利用原理图输入法设计简单组合电路一、实验目的:熟悉QuartusII软件界面, 学习简单组合电路的多层次化电路设计方法,掌握文本输入和原理图输入设计方法。

掌握时序仿真测试及测试结果分析的方法。

二、实验原理:三选一数据选择器可以由两个二选一数据选择器构成,原理图如图1 所示。

图1 三选一数据选择器上图中,二选一数据选择器MUX21A的功能如下:当s=0时,y=a;当s=1时,y=b 。

两个MUX21A 如上图连接后,实现三选一功能s1s0=00,outy=a3;s1s0=01,outy=a2;s1s0=10,outy=a1;s1s0=11,outy=a1。

三、实验内容:1.利用QuartusⅡ完成2选1多路选择器MUX21A的文本编辑输入,然后编译、仿真,检查程序设计正确无误后,生成一个元件待用。

给出文本设计文件和仿真波形图。

2.利用原理图输入法,按照图1进行连线,完成三选一电路的设计。

然后编译、仿真测试,结果正确后锁定管脚,下载到FPGA芯片中,进行硬件测试。

给出原理图设计文件和仿真设计图。

四、实验图形及仿真结果:(1)图形:1 原理图2 仿真电路图3仿真波形图(2)仿真结果分析:当s1s0=11时,输出y值即为a1的输入电平。

Y值随s1s0的输入电平改变而改变。

当输出y从一种电平跳变到另一电平时,会有时间的延迟。

五.管脚锁定说明:1.输入管脚锁定:a1 -> SW2(35) ;a2 -> SW3(36) ;a3-> SW4 (37) ;s0 -> SW5 (38) ;s1 -> SW6 (39) .2.输出管脚锁定:y -> LED1 (16) .六.硬件测试及结果分析:1.测试情况:当输入s1s0=00时,a3=1,测试灯亮,改变a0a1的电平,灯还是亮着;a3=0,测试灯灭,改变a0a1电平,灯还是灭。

以此类推,s1s0=01时,只有a2才能控制灯亮灭,s1s0=11或10时都是只有a1控制亮灭。

EDA实验报告 (2)

EDA实验报告 (2)

实验一QUARTUS II软件安装、基本界面及设计入门一、实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。

提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。

通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习使用QUARTUS的图形编辑器绘制电路图。

二、实验内容:1、安装QUARTUSII软件;2、熟悉QUARTUSII基本界面及操作;3通过一个4位加法器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。

三、实验仪器:1、PC机一台;2、QUARTUSII软件;3、EDA实验箱。

四、实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。

输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位数Co。

半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。

全加器有3位输入,分别是加数A、B和一个进位Ci。

将这3个数相加,得出本位和数(全加和数)D和进位数Co。

全加器由两个半加器和一个或门组成。

五、实验步骤:安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。

(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。

如下图:半加器原理图(3)、将此文件另存为has.gdf的文件。

(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。

EDA实验内容及要求

EDA实验内容及要求

EDA 实验题目实验一 MAXPLUS II软件开发环境的使用实验目的1.熟悉MAXPLUSII软件开发环境。

2.掌握EDA开发工具中数字逻辑电路的设计流程和基本步骤。

3.熟练掌握图形编辑器的使用方法。

4.熟练掌握一个设计的编译综合环节、器件设定与管脚绑定环节以及波形仿真环节。

实验内容与要求1.在图形编辑器中采用LPM图元设计一个4-16译码器,以decoder16.gdf命名保存。

将器件设定为EPM7128LC84-6。

输入D、C、B、A绑定到10,11,12,13管脚,输出Y0….Y15按顺序绑定到60至75管脚。

进行波形仿真,验证功能正确。

分析节点A到节点y15的最短延时。

(5分)2.在图形编辑器中,采用基本门电路设计一个一位的全加器,以FADDER.gdf命名保存。

器件设定为EPM7128LC84-6。

输入Ain、Bin、Cin(进位输入)分别绑定到Pin21、22、23,输出So、Co分别绑定到Pin41、42。

进行波形仿真验证其功能正确。

分析输入节点到输出节点的最短时间。

(5分)实验二图形编辑器与波形仿真器的综合使用(2)实验目的1.进一步熟悉MAXPLUSII软件开发环境与数字逻辑电路的设计流程和基本步骤。

2.掌握图形编辑器中总线的绘制与节点命名的方法,学习文本编辑器的使用。

3.熟练掌握输入时序的设计与编辑,学会通过波形仿真工具修改设计错误的技巧。

实验内容与要求1.在图形编辑器中设计一个3位的十进制加法计数器,以xxxcnt3.gdf命名保存(‘xxx’为您的姓名拼音首字母)。

器件设定为EPM7128LC84-6。

要求能够从0计数到999。

从999归零时产生一个高电平的报警信号。

进行波形仿真,验证功能正确。

分析此电路的最高计数频率。

(5分)2.修改这个计数器的归零值,使其计数到119就归零,增加异步清零功能,加法计数/减法计数控制功能。

(3分)3.在文本编辑器中使用VHDL语言设计一个D触发器,具有反向输出端。

eda实验报告

eda实验报告

eda实验报告EDA(Exploratory Data Analysis)是一种常用的数据分析方法,通过对数据集进行可视化、统计和计算,来揭示数据中的潜在规律和趋势。

下面是一份关于EDA实验的报告,总字数700字。

实验目的:1. 熟悉EDA方法和流程;2. 分析数据集的特征和表现;3. 发现数据集中的异常和趋势。

实验过程:1. 数据收集与预处理本次实验使用的数据集是关于某公司销售数据的报告。

首先,收集了公司销售数据,并进行了数据清洗与预处理,包括去除重复数据、处理缺失值和异常值等。

2. 数据可视化通过绘制直方图、散点图和箱线图等可视化图表,分析如下几个方面:(1)销售额分布情况:绘制直方图分析销售额的分布情况,观察是否存在明显的集中趋势或异常值。

(2)销售额与时间的关系:绘制时间序列图,观察销售额随时间的变化趋势。

(3)不同产品类别的销售情况:绘制柱状图比较不同产品类别的销售额,从而分析各类别产品的市场表现。

(4)销售额与其他因素的关系:绘制散点图分析销售额与其他因素(如广告费用、产品价格等)之间的关系,发现潜在的规律。

3. 数据统计与计算通过对数据集进行统计和计算,揭示数据集中的特征和规律,如:(1)平均销售额:计算销售额的平均值,以了解公司销售的平均水平。

(2)销售额的标准差:计算销售额的标准差,以评估销售额的波动性和不稳定性。

(3)销售额的趋势:使用回归分析等方法,拟合销售额与时间的关系,从而预测未来的销售趋势。

实验结果:通过数据可视化和统计计算,我们获得了以下一些结果:1. 销售额的分布呈正偏态,大部分销售额集中在较低水平,但也存在一些异常值;2. 销售额随时间呈现出上升的趋势,说明公司的销售业绩在逐渐提升;3. 不同产品类别的销售额差异较大,其中某些类别的销售额明显高于其他类别;4. 销售额与广告费用和产品价格之间存在一定的正相关关系,即投入更多的广告费用和提高产品价格可以带来更高的销售额。

EDA实验报告含结果图

EDA实验报告含结果图

EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。

2、熟悉EDA-IV实验箱。

3、熟悉EDA开发的基本流程。

二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。

三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。

实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。

表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。

四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。

eda

eda

实验项目一一、实验目的1、通过3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步了解可编程器件设计的全过程。

二、实验内容使用MAXPLUSII软件,设计一个3-8译码器,得出正确的仿真验证结果。

三、实验原理、方法和手段3-8译码器三输入,八输出。

当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。

因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平情况下,能表示所有的输入组合。

3-8译码器采用原理图输入的方式将三个输入端与其的非以八种与的方式进行连接进而得出输出。

四、设计输入五、仿真输出六、实验总结(被加数)Ai(被加数)Bi(半加和)Hi(本位进位)Ci实验二 半加器设计实验类型:综合 一、实验目的设计并实现一个一位半加器。

二、实验内容使用MAXPLUSII 软件,设计一个一位半加器,得出正确的仿真验证结果。

三、实验原理、方法和手段半加器电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路,是实现两个一位二进制数的加法运算电路。

计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。

按照进位是否加入,加法器分为半加器和全加器电路两种。

计算机中的异或指令的功能就是求两个操作数的和。

一位半加器有两个输入、输出。

一位半加器示意图Bi Ai Bi Ai Hi ∙+∙= Bi Ai Ci ∙=采用原理图输入的方式将两个输入端同或输出是,与输出co四、设计输入五、仿真输出六、实验总结实验项目 五一、实验目的设计并实现一个带进位的通用加法器设计。

二、实验内容使用MAXPLUSII 软件设计一个带进位的通用加法器,得出正确的仿真验证结果。

三、实验原理、方法和手段加法器,是产生数的和的装置。

常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。

EDA实验汇总

EDA实验汇总

实验汇总孙志伟集成06实验1 4选1数据选择器设计3.1 实验目的(1)学习EDA软件的基本操作(2)学习使用原理图进行设计(3) 初步掌握器件设计输入编译仿真编程的方法(4)学习实验开发系统的使用方法3.2 实验仪器与器材(1)EDA开发软件一套(2)微机一台(3)实验开发系统一台3.3 实验结果(1)编译并分配管脚后的原理图实验二四位比较器设计1、实验目的(1)设计四位二进制比较器,并在实验开发系统上验证(2)学习层次化设计方法2、实验仪器与器材(1)EDA开发软件一套(2)微机一台(3)实验开发系统一台(4)其它器件与材料若干3、实验源文件library ieee;use ieee.std_logic_1164.all;entity test isport( a: in std_logic_vector(3 downto 0);b: in std_logic_vector(3 downto 0);i1,i2,i3: in std_logic;G,M,L:out std_logic);end test;architecture behave of test isbeginp1:process(A,B,i1,i2,i3)beginif(A>B) then G<='1';M<='0';L<='0';elsif(A<B) then G<='0';M<='0';L<='1';elsif(A=B) then G<='0';M<='1';L<='0';if(i2='1') then G<='0';M<='1';L<='0';elsif(i1='1') then G<='1';M<='0';L<='0';elsif(i3='1') then G<='0';M<='0';L<='1';end if;end if;end process p1;end behave;实验三并行加法器设计1、实验目的(1)设计四位加法器。

EDA实验_实验内容安排

EDA实验_实验内容安排

一、实验内容实验1(基本门,组合电路)•《实验指导书》实验2-1:按顺序完成00,02,04,08,32,86芯片•《实验指导书》实验2-3:按顺序完成148,138,153,85实验2(组合电路,门电路综合)•《实验指导书》实验2.3(283,4511),4511芯片在核心板上面,a-g脚已接好。

•《实验指导书》实验2.2(门电路综合实验)•做完还可以做:实验书p50的8选1选择器,课本例2-6,2-7(p77)实验3(MOde1Sim+自行编写代码)参考课本425mode1sim的使用,自行编写“符合电路”逻辑的代码和测试平台,并进行仿真。

提早完成的可以尝试不同的方法实现,或继续编写“裁判电路”和“交通灯错误检测电路”。

下课前抄到纸张上交上来,写明进行到哪一步,如代码编写/测试平台编写/正仿真(未通过)/仿真已通过。

实验4(综合实例5.6照搬)按照《教材》5.6,完整实现一次,要求烧录并接线进行实际测试实验5(简单芯片设计与验证)•按照《教材》5.6的完整流程,实现74芯片的设计,要求在实验箱上接线测试。

在纸上写出你通过测试的程序代码,及测试平台代码。

标明你做到哪一步(第几次仿真/综合/烧录/实际测试中/测试是否通过等)。

•提前做完的,可继续做检奇电路(4个输入信号中,高电平个数为奇数时,输出高电平,否则输出低电平)。

实验6(时序电路)•《实验指导书》实验2.4:在实验箱上进行74,112,194,161芯片的验证。

•提早做完的,继续做3.2(p53)的“3.用74HC16I设计十二进制计数器”注:时钟信号可由“C1OCK G ENARATION”部分生成(可手动,也可自动)希望不要再跟着指导书的指引接线,而是看着课本的真值表自行接线进行测试实验7(时序电路加强)《实验指导书》3.2的“2.数控分频器”(p53)实验8(综合设计)按教材“771计数器数码管显示译码器”(p386)进行设计,烧录并测试。

EDA实验-- 异或门的实现

EDA实验-- 异或门的实现

实验一异或门的实现
一、实验目的:
1. 学会使用Quartus软件,掌握用Quartus软件验证VHDL语言。

2. 了解异或门的输入输出原理。

二、实验内容:
1.熟悉Quartus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)
2.用逻辑图和VHDL语言设计一个异或门。

三、实验原理:
异或门(XOR)
用途:异或门是一种用途广泛的门电路。

典型应用是作为加法器的单元电路。

逻辑图
真值表
四、实验步骤:
1、在Quartus软件中输入以下程序:
library ieee;
use ieee.std_logic_1164.all;
entity lbz1 is
port(a,b :in std_logic;
c :out std_logic);
end lbz1;
architecture art of lbz1 is
begin
c<=a xor b;
end art;
运行程序如下:
如图可以看出程序无错误,则可对程序进一步运行,即可生成如下图电路图:
由综合电路图,可以得出以下仿真波形图:
五、实验总结:
(1)通过实际操作,了解Quarturs2软件开发系统对逻辑电路的设计,仿真;(2)通过实验设计与仿真,更加了解异或门的实现功能及其应用;
(3)在实验过程中,仿真波形输入输出由综合电路图来获取输入及其输出,所以在仿真波形设计中可能会产生误差及波形与实际波形有差别。

EDA实验报告

EDA实验报告
cin: in std_logic;
sum: out std_logic_vector(n downto 1);
cout: out std_logic
);
end siwei;
architecture Behavioral of siwei is
component quanjia
port (a,b,cin:in std_logic;
when"110"=>Y<="01000000";
when"111"=>Y<=;
whenothers=>null;
endcase;
elseY<=;
endif;
endprocess;
endBehavioral;
仿真结果:
2. 60进制计数器
实验程序:
library IEEE;
use Uncomment the following lines to use the declarations that are
仿真结果:
实验二、四位全加器和8位移位寄存器设计实验
1、实验目的
1)学习了解加法器工作原理。
2)学习用VHDL语言设计全加器的设计方法。
3)学习使用元件例化的方法设计多位加法器。
4)了解移位寄存器的工作原理
5)学习移位寄存器设计方法
2、实验内容
1)用VHDL语言设计全加器。
2)用元件例化方法设计一个四位二进制加法器。
验一:译码器及计数器设计实验
1、实验目的
1)复习二进制译码器的功能。
2)学习VHDL语言源程序输入方法。
3)学习VHDL语言源程序检查和修改。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

EDA(VHDL)实验一 彩灯实验

EDA(VHDL)实验一 彩灯实验

实验一彩灯实验
一、实验目的
1 通过实验初步了解EDA的基本概念。

2 能理解VHDL语言实现硬件设计的思路。

3 能熟练掌握EDA开发软件的使用。

二、实验原理
在本实验中,8个彩灯共阴接地,阳极分别为FPGA的8个I/O相连,I/O输出变化的电平,来控制彩灯的点亮。

三、实验内容与步骤
1 打开Quartus II软件,依次点击菜单栏中的“File-Open Project”,打开EDA/LIGHT文件夹,在对话框中选择项目LIGHT,再点击打开即可;
2 双击项目管理器中的顶层项目LIGHTALL,顶层文件打开;
3 分别双击原理图表中PIN1HZ、light模块,打开即可看到源文件:
(1)light模块源程序
light模块方针波形如图所示:
程序分析:
(2)PIN1HZ模块源程序
PIN1HZ模块方针波形如图所示:
程序分析:
4 重新设置器件Cyclone EP1C6Q240C8 和配置芯片EPCS1;
5 根据配套的硬件资源分配表进行管脚分配,分配后的结果如图所示:
6 然后再编译一次;
7 下载程序到目标芯片。

四、实验现象
八个彩灯采用不同的模式被点亮。

五、思考题
试着改变程序,使得LED灯的切换速度发生变化。

只要改变PIN1HZ模块源程序的分频比,即可使得LED灯的切换速度发生变化。

例如,把50MHz分频为2Hz的程序如下:。

EDA实验

EDA实验

一、实验部分实验一仪器的熟悉及半加器的设计一、实验内容:(1)熟悉实验台(2)用VHDL设计半加器及或门,并给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。

(3)根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

实验二简单组合电路的设计一、实验目的:熟悉Max+plusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容1:利用MAX+plusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。

三、实验内容2:将多路选择器看成是一个元件mux21a,利用元件例化语句并将此文件放在同一目录。

以下是参考程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT (a1,a2,a3,s0,s1 : IN STD_LOGIC;outy : OUT STD_LOGIC );END ENTITY MUXK;ARCHITECTURE BHV OF MUXK ISCOMPONENT MUX21APORT ( a,b,s : IN STD_LOGIC;y : OUT STD_LOGIC);END COMPONENT ;SIGNAL tmp : STD_LOGIC;BEGINu1 : MUX21A PORT MAP(a=>a2,b=>a3,s=>s0,y=>tmp);u2 : MUX21A PORT MAP(a=>a1,b=>tmp,s=>s1,y=>outy);END ARCHITECTURE BHV ;对上例分别进行编译、综合、仿真。

并对其仿真波形作出分析说明,并画出电路结构,说明该电路的功能。

四、实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

eda课程设计实验报告

eda课程设计实验报告

eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。

2. 学生能运用所学知识,设计并实现基本的数字电路。

3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。

技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。

2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。

3. 学生在小组合作中,提高沟通协调能力和团队协作能力。

情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。

2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。

3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。

课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。

学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。

教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。

第7章 EDA实验及课程设计

第7章 EDA实验及课程设计

USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY count24 IS
PORT(en, clk: IN STD_LOGIC;
qa: out STD_LOGIC_VECTOR(3 DOWNTO 0); 数
--个位数计
begin if clk'event and clk = '1' then if en = '1' then if tma = "1001" then tma := "0000"; tmb := tmb+1; Elsif tmb = "10" and tma = "0011" then tma := "0000"; tmb := "00"; else tma := tma+1; end if; end if; end if; qa <= tma; qb <= tmb; end process;
7.1.2 MAX + plusⅡ/QuartusⅡ软件VHDL设计 实验六 VHDL软件设计 一、实验目的 1. 熟悉EDA开发平台的基本操作; 2. 掌握EDA开发工具的VHDL设计方法; 3. 掌握硬件描述语言设计的编译与验证方法。 二、实验仪器
计算机、MAX + plusⅡ或QuartusⅡ软件、EDA/SOPC实验 箱。 三、实验内容 1. 二十四进制加法计数器设计与验证。代码如下: LIBRARY IEEE;
1 XXXXXXXX 1 1 1 1 1
0 11111111 1 1 1 1 0
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2. ADC0809芯片工作时序图: 地址输入和控制线共4条,ALE为地址锁存允许输入线,高电平有效, 当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信 号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换,A, B,C为地址输入线,用于选通IN0-IN7的一路模拟量输入,通道选择表 如下表所示:
注释:‘***’为您的姓名拼音首字母 实验二 使用文本编辑器设计VHDL程序
实验目的 1.熟悉MAXPLUSII软件开发环境中VHDL程序的设计。 2.掌握VHDL语言的基本结构、格式与语法。 3.学习使用消息提示器跟踪并分析程序设计错误的能力。 4.进一步掌握输入时序的设计与编辑,以及通过波形仿真工具修改 设计错误的技巧。
3.用户命令格式 (1)实验用液晶显示器模块(OCMJ)命令帧分为操作码及操作数两部 分。 (2)字符命令:1、显示国标汉字,2、显示8X8ASCII字符,3、显示 8X16ASCII字符
图形显示命令:4、显示位点阵,5、显示字节点阵 屏幕控制命令:6、清屏,7、上移,8、下移、9、左移、10、右 移 (3)命令帧 显示国标汉字 命令格式:F0 XX YY QQ WW XX:为以汉字为单位的屏幕行坐标值,取值范围00到07。 YY:为以汉字为单位的屏幕列坐标值,取值范围00到01。 QQ WW:坐标位置上要显示的GB2310汉字区位码。 4.其它未尽内容请参见实验指导书(P188-P200) 实验内容与要求 1.设计一个液晶显示驱动电路,要求能够显示两行不少于14个字的 汉字字符,且具有清屏、左移、上移功能。显示内容自定。(6 分) 2.设计一个液晶显示驱动电路,要求能够显示至少两个周期的三角 波形,并显示“T=30mS”的字样。(4分) 3.在上述2的基础上增加显示方波的功能,且可通过按键实现三角 波和方波的切换显示(3)。
实验内容与要求 1.在文本编辑器中使用VHDL语言设计一个8-3编码器,以 ***c83.vhd命名保存。器件设定为EPM7128LC84-6。要求输入节点 命名为d0…d7,低电平有效;使能端节点名为EN,低电平有效;输 出节点命为A、B、C。进行波形仿真,验证功能正确。分析其出现 竞争冒险的可能性。 (6分) 附加:将上述设计定义成一个Symbol图元,在图形编辑器中将其级
sel0 Sel1 Sel2 Sel3 选中的数码管
0
1
1
1
第4位
1
0
1
1
第3位
1
1
0
1
第2位
1
1
1
0
第1位(右)
2. 8位7段数码管(共阴) 笔画接口:a、b、c、d、e、f、g、dp 位选接口:sel0,sel1,sel2,sel3(可不用).
Sel2 Sel1 Sel0 选中点亮的数码管
1
1
1
实验五 AD转换电路的设计与实现(4课时) 实验目的
1.学习AD0809模数转换芯片的工作原理和接口电路时序特征。 2.学习点阵显示器的工作原理和驱动方法。 3.掌握利用VHDL语言设计并行总线时序的方法。 4.掌握AD芯片通道切换方法和点阵显示器灵活驱动的设计方法。 实验原理
1. ADC0809接口电路图
姓名:XXX
学号:XXX
实验题目:XXXXXXXXXX
组号:XXX
实验内容 成绩1
成绩2
日期
1
2 3 4
数据
7-14 DB0~DB7 I 数据0~数据7
2.接口时序说明
编 号 名称 1 Tr
单位 最小值 最大值
说明
uS
0.4
-
数据线上数据稳定时 间
2 Tb
uS
2
20
最大模块响应时间
3 Trt
uS
11
-
最小REQ保持时间
4 Ts1
uS
20
45
最大数据接收时间
5 Ts2 uS
-
0.1-30
最大命令指令处理时 间
C
B
A
选择的通

0
0
0
IN0
0
0
1
IN1
0
1
0
IN2



1
1
1
ห้องสมุดไป่ตู้

数字量输出及控制线:
IN7
11条 START为转换启动信
号,当START上升沿时,所有内部寄存器清零;下跳沿时,开始进行A/D
转换;在转换期间,START应保持低电平。EOC为转换结束信号,当EOC
为高电平时,表明转换结束;否则,表明正在进行A/D转换。D7-D0为数
CS
WR INT RD DATA CLOCK S0 S1 S2 S3 S3
4.依据时序图归纳时序状态
状态S0:CS=1,WR=1,RD=0(请求转换)
状态S1:CS=0,WR=0,RD=0(此时0809进行转换,若转换结束,将
INT置1)
状态S2:CS=1,WR=0,RD=1(读数据总线值)
状态S3:CS=0,WR=0,RD=0(空闲,等待下一次转换启动)
EDA 实验题目
实验一 MAXPLUS II软件开发环境的使用 实验目的
1.熟悉MAXPLUSII软件开发环境。 2.掌握EDA开发工具中数字逻辑电路的设计流程和基本步骤。 3.熟练掌握图形编辑器的使用方法。 4.熟练掌握一个设计的编译综合环节、器件设定与管脚绑定环节以 及波形仿真环节。 实验内容与要求 1.在图形编辑器中采用MF图元(74138)设计一个4-16译码器,以 decod***.gdf命名保存。将器件设定为EPM7128LC84-6。输入D、 C、B、A绑定到8,9,10,11管脚,输出Y0….Y15按顺序绑定到4852,54-58,60-61,63-65,67管脚。进行波形仿真,验证功能正确。 分析节点A到节点y15的最短延时。(6分) 2.在图形编辑器中设计一个3位的十进制加法计数器,以 ***cnt3.gdf命名保存。器件设定为EPM7128LC84-6。要求能够从0 计数到999。从999归零时产生一个高电平的报警信号。进行波形仿 真,验证功能正确。分析此电路的最高计数频率。(4分) 3.在上述设计基础上增加加法计数/减法计数控制功能和置数功 能,并使其计数到119就归零。文件名修改为***119.gdf(2分)
1.利用文本编辑器和VHDL语言设计一个半加 器和或门,将其定义成Symbol图元,在图形 编辑器中利用这些Symbol将其设计成一个全 加器。下载到CPLD芯片中,接入输入电平信 号和输出LED显示器,通电验证并抄写其真值 表。(6分) 2.利用VHDL语言设计一个8位8421码到8位格 雷码的编码器,下载后实现。(4分)
实验三 EDA实验箱的使用及组合逻辑电路的设计 实验目的
1.熟悉EDA实验箱的各种硬件资源的位置、功能、特点与使用方 法。 2.掌握实现设计电路下载到芯片的关键设置与基本步骤。 3.掌握使用VHDL语言设计组合逻辑电路的方法。 4.掌握利用实验箱上的输入信号和输出显示器件在线测试设计电路 的方法。 实验内容与要求
5.16*16点阵显示器件
列选信号为SEL0-SEL3经4-16译码器后,最右端为第一列;行选信
号为L0-L16,最上方为第一行。点阵显示接口对应关系表:
Sel3
Sel2 Sel1 Sel0
点亮列号
1
1
1
1
第1列
1
1
1
0
第2列
1
1
0
1
第3列
.
.
.
.
.
0
0
0
0
第16列
实验内容与要求 1. 设计一个AD0809模数转换芯片的驱动电路,能将A0通道的模拟 电压(0-5V)值以16位光柱对应显示,即电压低时光柱矮,电压 高时光柱高。此光柱建议采用点阵显示器的一列(16个 LED)。(6) 2. 在上述设计的基础上,扩展显示精度。即采用点阵显示器的 256个LED表示5V之内的电压大小(面积表示法)。(3) 3. 改进电路,使之能测量并分别显示AD0809芯片至少4个通道的 电压值。建议采用4个光柱(16级)显示(3分)。
实验原理 1.中文液晶显示模块(OCMJ128X32)的引脚说明
引脚 名称 方向
说明
其它
1 VLED+ I 背光电源正极(LED+5v)
2 VLED- I 背光电源负极(LED-5v)
3
VSS
I地
4
VDD
I (+5v)
5
REQ
I 请求信号,高电平有效。
应答信号=1:已经收到数据并正在
6
BUSY
O
处理中 =0:模块空闲,可以接受
字量输出总线。要注意:实验箱电路板上的D0-D7的标注是反的。即D7
应该是最低位,D0应该是最高位。REF(-)接GND,REF(+)接VCC5V。
3. 电路改进及相应的简化时序
ADC0809接口的完全控制时序比较复杂,通过增加三个与门后(实验
箱上的实际电路,见上图)可以简化接口时序。电路改进后的时序:
联成一个16-4编码器,命名为***164.gdf。(2分) 2.在文本编辑器中使用VHDL语言设计一个T触发器,具有反向输出 端。命名为***dff.vhd,仿真验证。(3分) 附加:将上述T触发器定义成一个Symbol图元,在图形编辑器中将 其级联一个64分频的分频器,命名为***fp.gdf,仿真验证。(2)
第1位(最右)
1
1
0
第2位
1
0
1
第3位
1
0
0
第4位
0
1
1
第5位
0
1
0
第6位
相关文档
最新文档