数字秒表的设计与制作
数字式秒表设计
一、内容摘要本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由微动开关、抖动消除电路、三状态控制电路、微分及整形清零电路、上电复位电路、0·1秒脉冲发生器、闸门计数控制电路、译码及显示电路组成的电子秒表,其中核心的部分为0·1秒脉冲发生器、计数、译码及显示电路部分,而其它部分是为使电子秒表在0.1~9·9秒范围内测定时间附加上的一些外围控制电路。
本设计报告由内容摘要、设计任务指标、系统方案论证、元件清单、单元电路设计、电路图及电路工作原理、组装调试、设计成果的评价、课程设计心得体会和参考文献十大部分组成,力求将整个系统的设计过程、原理、以及心得体会完整的呈现出来。
二、设计任务及指标1通过本课程设计计算、安装调试、资料整理、撰写报告等环节,初步掌握电子设计方法以及完成数字秒表的电路设计。
2利用基本RS触发器、脉冲发生器及计数、译码、显示等单元电路设计数字秒表。
3由2位数码管显示计数时间,显示分辨率为0.1s,计时误差小于5%。
4在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试,实现上电自动清零、启动计时显示、暂停计时显示以及重新计时等控制功能。
三、系统设计方案论证1数字式秒表,首先需要一个数字显示。
按设计要求,须用数码管来做显示器。
题目要求最大记数值为9.9秒,则需要一个8段数码管作为秒位(有小数点)和一个7段数码管作为分秒位。
要求计数分辨率为0. 1秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
秒表核心部分——计数器,使用两个74LS390计数器构成,这种连接方式简单,使用元器件数量少。
计数脉冲是由555定时器构成的多谐振荡器,产生10赫兹脉冲,如果精度要求高,也可采用石英振荡器。
在选择译码器的时候,有多种选择,如74LS46,74LS47,74LS48等4-7线译码器。
期末大作业 数字秒表设计
if cnt0="1001" then
co<='1';
cnt0:="0000";
elsif cnt0<"1001" then
cnt0:=cnt0+1;
co<='0';
end if;
else cnt0:=cnt0;
end if;
end if;
secm0<=cnt0;
end process;
time:in std_logic_vector(23 downto 0);
h1,h0,m1,m0,s1,s0:in std_logic_vector(3 downto 0);
qlk:out std_logic);
end nz;
architecture sss_arc of nz is
begin
process(clk)
use ieee.std_logic_unsigned.all;
entity MINUTE is
port(clk,en,clr:in std_logic;
min1,min0:out std_logic_vector(3 downto 0);
co:out std_logic);
end MINUTE;
architecture MIN of MINUTE is
期末大作业数字秒表设计
一、实验任务及要求
设计用于体育比赛用的数字秒表,要求:
1、及时精度大雨1/1000秒,计数器能显示1/1000秒时间,提供给计时器内部定时的始终频率为12MHz;计数器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒。
简易数字秒表的电路设计 概述及解释说明
简易数字秒表的电路设计概述及解释说明1. 引言1.1 概述本文主要介绍了一种简易数字秒表的电路设计。
秒表是一种用于计算时间间隔的常见工具,广泛应用于日常生活和各行各业中。
传统的机械秒表用起来不够便捷,因此我们将使用电路设计来实现一个数字秒表,使其更加方便使用。
1.2 文章结构本文分为四个主要部分进行阐述。
首先,在“引言”部分中我们将对文章进行概述和介绍。
接下来,在“简易数字秒表的电路设计”部分中,我们将详细介绍设计原理、电路元件选择与说明以及电路连接与布局等内容。
然后,在“解释说明”部分中,我们将解释秒表功能的实现方法,并探讨其功能扩展可能性,并指出在电路设计过程中需要注意的问题。
最后,在“结论”部分中,我们对本次设计成果进行总结,并就可能存在的改进空间进行分析和未来应用进行展望和思考。
1.3 目的本文旨在通过详细描述并解释简易数字秒表的电路设计,提供一个清晰易懂、全面深入的指南,帮助读者了解该设计思路及其实现方法。
同时,通过对功能扩展可能性的探讨和对电路设计过程中需要注意的问题的分析,可以引导读者在实际应用和改进中做出更好的决策。
最后,通过总结和展望,为未来的研究和发展提供参考思路。
2. 简易数字秒表的电路设计2.1 设计原理:简易数字秒表的电路设计基于计时器和显示器组成。
其主要原理是利用计时器模块产生一个稳定的时间基准,然后将该时间以数字形式显示在显示器上。
2.2 电路元件选择与说明:在设计简易数字秒表的电路时,我们需要选取合适的电子元件来实现功能。
以下是一些常见的元件选择:- 计时器芯片:可选择集成型计时器芯片,如NE555等,它们具有稳定的时钟信号输出。
- 显示屏:一般选用7段LED数码管,由于它们能够直观地显示数字。
- 驱动芯片:如果使用多个7段LED数码管进行显示,则必须选择合适的驱动芯片,如74HC595等。
这些元件经过合理的选择和配套可以实现精确、稳定地测量和显示时间。
2.3 电路连接与布局:简易数字秒表电路连接和布局对功能稳定性有重要影响。
课程设计任务书-数字秒表
课程设计任务书数字秒表的设计要求:(1)5V电源供电。
(2)数码管显示时间范围为:0~59秒。
(3)可自动复位和手动复位,能实现手动调整时间。
一、设计任务1、设计题目:数字秒表的设计设计要求:(1)5V电源供电。
(2)数码管显示时间范围为:0~59秒。
2、(3)可自动复位和手动复位,能实现手动调整时间。
二、设计方案:1、方框图:功能说明:此电路是由8421码十进制计数电路,译码电路,数码显示电路,自动脉冲产生电路与门电路,手动复位电路,手动脉冲产生电路和电源电路八部分组成。
数字秒表电路是利用8421码十进制计数电路的计数功能,同时利用译码驱动电路,驱动数码显示电路发光显示数字。
其中,自动脉冲产生电路同与门电路组合可以实现0~59的循环计数,手动脉冲产生电路同与门电路组合可以选择0~59的任意数值,手动复位电路实现手动清零。
三、电路设计与分析1、8421码十进制计数电路和与门电路,自动脉冲产生电路,手动脉冲产生电路,自动脉冲产生电路及手动复位电路。
A、8421码十进制计数电路采用集成异步十进制计数器74LS90。
74LS90是二一五一十进制计数器,将输入时钟脉冲CLK接于CLK0端,并将CLK1端与Q0端相连,便构成8421码集成异步十进制计数器。
74LS90的逻辑图如下:二一五一十进制计数器74LS90逻辑图集成异步十进制计数器74LS90的电路图如下:74LS90的功能表如下:数学分析:(1)列出逻辑方程组①列出时钟信号的逻辑表达式CLK1=Q0 ; CLK2=Q2 ; CLK0=CLK②激励方程组L0=K0=1 ; J1=+;K1=1 ; J2=J1=1 ; J3= ; K3=1③(2)列出状态表:B、秒表的个位是通过将该位计数器的Q3与十位计数器的CLK0相连实现逢9向十位进1的逻辑功能。
C、秒表的十位通过将计数器的Q1、Q2相连和MR1,MR2相连,实现逢6清零的功能(祥见74LS90功能表),其中的与门电路用74LS08实现,其内部逻辑图如下所示:D、自动脉冲产生电路由函数信号发生器给出,可以实现0~59的循环计数。
简易数字秒表(数字电路)
简易数字秒表1. 课题说明:在体育比赛、时间准确测量等场合通常要求计时精度到1%秒(即10 ms )甚至更高的计时装置,数字秒表是一种精确的计时仪表,可以担当此任。
本课题的设计任务设计一个以数字方式显示的计时器,即数字秒表。
2. 设计内容:a) 数字秒表需求分析,信号及属性定义;b) 电路原理设计、分析、参数计算,画出电路原理图; c) 电路安装与实验测试。
3. 设计要求:a) 量程99.99 S ,计时精度1%秒,计时结果动态显示,十进制格式;b) 设置启动、清除信号,清除信号使输出结果,使电路复位到初始状态;c) 设置暂停、停止信号,暂停、停止时均保持当前结果,直到清除信号有效时止;4. 总体设计思路:数字秒表由4个部分组成:精确的时钟源、十进制计数器、译码器、七段码或液晶显示电路。
时钟源产生符合精度要求的基准时钟,本设计中取10毫秒即可。
十进制计数器需要4个,分别对应4个十进制位,输出为BCD 码。
若采用七段码显示器则译码器完成BCD 到七段码的译码,由4位显示电路动态显示结果。
综上所述,数字秒表应具有以下结构(如图所示):图1 数字秒表总体框图5. 具体电路设计:(1)基本计时功能图2 基本计时电路由5片74ls90芯片构成分频、计数电路,第一个74ls90用来将实验箱上的1kHz基准时钟脉冲十分频得到100Hz时钟脉冲,从而达到题目要求的测量精度1%秒,后四个74ls90级联后用来计数,逢十进一,共有四位,故该秒表最大量程为99.99秒。
由于实验箱上译码驱动电路和七段码显示器已经连在一起,故只需将74ls90正确级联即可。
(2)启动、暂停功能图3 启动、暂停电路只要能控制基准时钟脉冲的加入就能实现秒表的启动和暂停,故可选用与门,将一个开关与基准时钟脉冲相与。
当开关接高电平时,脉冲加入,正常计数,秒表工作;当开关接低电平(地)时,脉冲截止,停止计数,秒表暂停。
(3)清零功能图4 清零电路由于74ls90有置零端,故实现秒表清零功能比较简单,只需将四个计数用74ls90的置零端连在一起,由一个开关统一控制即可。
单片机课程设计_基于单片机的数字秒表设计
单片机课程设计_基于单片机的数字秒表设计在当今科技迅速发展的时代,电子设备的应用无处不在,其中数字秒表作为一种常见的计时工具,具有广泛的应用场景,如体育比赛、科学实验、工业生产等。
本次课程设计旨在基于单片机技术实现一个数字秒表,通过对硬件电路的设计和软件程序的编写,掌握单片机系统的开发流程和方法,提高实践动手能力和解决问题的能力。
一、设计要求1、能够实现秒表的启动、暂停、复位功能。
2、计时精度达到 001 秒。
3、能够通过数码管显示计时结果。
二、系统方案设计1、硬件设计单片机选型:选用常见的 STC89C52 单片机作为核心控制器,其具有性能稳定、价格低廉、易于编程等优点。
显示模块:采用 8 位共阴极数码管作为显示器件,通过动态扫描的方式实现数字的显示。
按键模块:设置三个独立按键,分别用于启动、暂停和复位操作。
时钟模块:使用单片机内部的定时器/计数器产生精确的时钟信号,实现计时功能。
2、软件设计主程序:负责系统的初始化、按键扫描和计时处理等。
中断服务程序:利用定时器中断实现 001 秒的定时,更新计时数据。
三、硬件电路设计1、单片机最小系统包括单片机芯片、晶振电路和复位电路。
晶振频率选择 12MHz,为单片机提供时钟信号。
复位电路采用上电复位和手动复位相结合的方式,确保系统能够可靠复位。
2、显示电路将 8 位数码管的段选引脚通过限流电阻连接到单片机的 P0 口,位选引脚通过三极管连接到单片机的 P2 口。
通过动态扫描的方式,依次点亮每个数码管,实现数字的显示。
3、按键电路三个按键分别连接到单片机的 P10、P11 和 P12 引脚,采用低电平有效。
当按键按下时,相应引脚的电平被拉低,单片机通过检测引脚电平的变化来判断按键的操作。
四、软件程序设计1、主程序流程系统初始化后,进入主循环。
在主循环中,不断扫描按键状态,如果检测到启动按键按下,则启动计时;如果检测到暂停按键按下,则暂停计时;如果检测到复位按键按下,则将计时数据清零。
数字秒表的设计与制作
数字秒表的设计与制作 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】电子技术综合课程设计课程:电子技术综合课程设计题目:数字式秒表所属院(系) 电气工程专业班级姓名学号:指导老师完成地点2011年 07 月 5 日任务书数字式秒表一、任务和要求:设计并制作一个数字式秒表,要求如下:1、用三位数码管及一个LED发光二极管显示秒表计时,格式如下:开机时数码管显示000,LED灯灭;当计时超过59秒时,LED灯亮;计到1分59秒时,过一秒,LED灯灭,同时数码管重新计时显示。
计时最小单位为秒。
2、具有如下功能键:开始/清零键:按第一下时计时开始,同时显示;按第二下,停止计时,恢复到初始状态;固定显示键:按第一下时,显示固定,但计时仍继续;再按下时,显示从新时间开始。
3、要求自制秒信号源。
4、设计并制作本电路所用直流电源。
二、提示和参考文献直流稳压电源见参考资料P23《数字电子技术实验任务书》实验六目录一前言 (3)二方案论证与对比选择 (4)总体框图 (5)方案一 (5)方案二 (6)三单元电路与总体电路设计 (6)+5v电源设计 (7)秒信号源设计 (8)加法计数器电路设计 (9)译码锁存电路设计 (10)显示电路设计 (11)手动复位开关设计 (12)四软件仿真 (12)Proteus软件的介绍 (12)电源仿真 (13)秒的信号源的仿真 (13)总体电路图 (14)五实验的装调和数据分析 (15)电源的装调 (15)整体电路的装调 (15)数据分析 (16)六总结与体会 (16)七附录: (17)整体电路图 (17)集成电路芯片管脚图及其功能 (18)元器件清单 (21)参考文献 (22)一前言电子技术综合课程设计是集电路分析、模拟电子技术、数字电子技术以及电路实验、模拟电子技术实验、数字电子技术实验等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。
《秒表的设计与制作》课件
可以增加计次、计圈、计时区间、定时等功能,根据硬件和软件设计要点增加相应的功 能和控制。
3 如何避免秒表过度耗电?
避免过度亮屏、采用Sleep模式等方法可以避免秒表过度耗电,延长电池使用寿命。
《秒表的设计与制作》 PPT课件
本课件将详细讲解秒表的设计和制作,包括硬件和软件设计,以及元器件选 择和电路调试。欢迎一同探索。
秒表简介
作用
秒表是用于精确计时的仪器,可秒表可测量任 意时间并精确到0.01秒。
常见应用
秒表广泛应用在计时赛事、运动训练、科学实 验等领域。
计时器的原理
机械计时器原理
机械计时器一般由钢铁齿轮和弹簧组成,通过弹簧 的释放,带动齿轮转动来计时。
硬件设计
1
电路图
电路图是秒表硬件设计的基础,需要设
元器件选择
2
计每个元器件在电路中的连接方式。
元器件的选择需要考虑准确性、兼容性
和易用性,比如需要选择高精度的时钟
芯片和易于调试的显示器件。
3
软件设计
通过编程来控制秒表的显示和计时方式,
必须考虑精度和易用性。
代码实现
4
根据设计要点和硬件设计,通过编程来 实现秒表的功能,需要仔细调试确保准
电子计时器原理
电子计时器一般由时钟芯片和数码显示器组成,时 钟芯片内部通过晶体振荡来计时并显示在数码显示 器上。
秒表设计要点
1 准确性
准确性是秒表最重要的设计要点,需要选择高精度元器件和优化电路设计。
2 兼容性
秒表需要与其他设备兼容,比如可通过USB连接外部计算机,方便数据处理。
3 易用性
秒表的操作简单易用,按钮需要大而明显,方便用户掌握。
EDA课程设计--数字秒表设计
电子课程设计—数字秒表的设计数字秒表的设计数字秒表的设计一、设计任务与要求1、数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。
秒。
2 2、数字秒表的计时精度是、数字秒表的计时精度是10ms 10ms。
3 3、、复位开关可以在任何情况下使用,复位开关可以在任何情况下使用,即便在计时过程中,即便在计时过程中,即便在计时过程中,只要按一下复位只要按一下复位开关,计时器就清零,并做好下次计时的准备。
开关,计时器就清零,并做好下次计时的准备。
4 4、、具有启具有启//停开关,停开关,即按一下启即按一下启即按一下启//停开关,停开关,启动计时器开始计时,启动计时器开始计时,启动计时器开始计时,再按一下再按一下启/停开关则停止计时。
停开关则停止计时。
二、总体框图由频率信号输出端输出频率为100HZ 的时钟信号,输入到微妙模块的时钟端clk ,高/低电平电平频率信号输入输入微妙模块微妙模块秒模块秒模块分模块分模块置数/位选位选显示模块显示模块进位进位微妙模块为100进制的计数器,产生的进位信号co 输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。
将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。
设计方案:利用一块芯片完成除时钟源,利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功按键和显示器之外的所有数字电路功能。
所有数字逻辑功能都在CPLD 器件上用VHDL 语言实现。
这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点,本设计采用自顶向下,混合输入方式(原理图输入——顶层文件链接和VHDL 语言输入——各模块程序设计)实现数字秒表的设计,下载和调试。
三、功能模块1.1. 微秒模块微秒模块采用VHDL 语言输入方式,以时钟clk clk,清零信号,清零信号clr 以及暂停信号STOP 为进程敏感变量,程序如下:为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MINSECONDb isport(clk,clrm,stop:in std_logic;----时钟时钟//清零信号清零信号secm1,secm0:out std_logic_vector(3 downto 0);----秒高位秒高位//低位co:out std_logic);------- co:out std_logic);-------输出输出输出//进位信号进位信号 end MINSECONDb;architecture SEC of MINSECONDb is signal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数计数 VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>=0 AND COUNT2<10 THEN COUNT2:=COUNT2+1; ELSE COUNT2:=0;DOUT2<= NOT DOUT2; END IF; END IF;if clrm='1' then----if clrm='1' then----当当clr 为1时,高低位均为0cnt1:="0000"; cnt0:="0000";elsif clk'event and clk='1' then if stop='1' then cnt0:=cnt0; cnt1:=cnt1; end if;if cnt1="1001" and cnt0="1000" ;then----then----当记数为当记数为9898(实际是经过(实际是经过59个记时脉冲)个记时脉冲) co<='1';----co<='1';----进位进位进位cnt0:="1001";----cnt0:="1001";----低位为低位为9elsif cnt0<"1001" then----elsif cnt0<"1001" then----小于小于9时 cnt0:=cnt0+1;----cnt0:=cnt0+1;----计数计数计数 --elsif cnt0="1001" then --clk1<=not clk1;elsecnt0:="0000";if cnt1<"1001" then----if cnt1<"1001" then----高位小于高位小于9时 cnt1:=cnt1+1; elsecnt1:="0000"; co<='0'; end if; end if; end if;secm1<=cnt1; secm0<=cnt0;end process; end SEC;程序生成器件如图:clk clrm stopsecm1[3..0]secm0[3..0]coMINSECONDbinst3微妙模块生成的器件可以实现带有100进制进位和清零功能,暂停等功能,MINSECONDb 输入为100HZ 脉冲和低电平的清零信号CLR 与暂停信号STOP STOP,输出,输出微妙个位、十位及进位信号CO CO。
数字秒表课程设计及仿真
数字秒表课程设计及仿真一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的工作机制。
2. 学生能描述数字秒表电路的组成,包括时钟电路、触发器、计数器等关键元件。
3. 学生能够运用所学知识,分析并解释数字秒表中时间测量的精度和误差来源。
技能目标:1. 学生能够运用仿真软件设计并搭建一个简单的数字秒表电路模型。
2. 学生通过实际操作,学会设置数字秒表,进行时间的测量和记录,掌握基本的时间计算方法。
3. 学生能够利用仿真工具对数字秒表电路进行调试,解决简单的故障问题。
情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣,增强对科学探究的热情。
2. 学生能够在小组合作中发展团队协作精神,学会相互尊重和交流分享。
3. 学生通过实际操作和问题解决,培养面对挑战的积极态度和解决实际问题的自信心。
课程性质:本课程属于电子技术实践课程,结合理论教学与实际操作,强调知识的应用与创新。
学生特点:考虑到学生年级特点,课程设计将结合学生的好奇心和动手能力,通过形象直观的仿真实验,激发学生的学习兴趣。
教学要求:教学过程中应注重理论与实践相结合,强调知识的应用和技能的培养,通过课程学习,使学生能将所学知识内化为解决实际问题的能力。
教学评估将基于学生在课程中的具体学习成果进行。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字秒表基本原理:介绍数字秒表的计时原理,分析时钟电路、触发器、计数器等关键元件的工作原理。
2. 数字秒表电路组成:详细讲解数字秒表的电路结构,包括时钟电路、控制电路、显示电路等组成部分。
3. 仿真软件应用:教授学生如何使用仿真软件,搭建数字秒表电路模型,并进行调试。
4. 实践操作:指导学生进行数字秒表的设置、时间测量和记录,以及基本的时间计算方法。
5. 故障分析与解决:教授学生如何分析数字秒表电路中的常见故障,并运用所学知识解决问题。
教学内容安排如下:第一课时:数字秒表基本原理及电路组成1. 介绍计时原理和关键元件2. 分析电路结构及工作原理第二课时:仿真软件应用与实践操作1. 搭建数字秒表电路模型2. 进行仿真调试和实际操作第三课时:故障分析与解决1. 分析常见故障及其原因2. 解决实际问题,提高操作技能教学内容与教材关联性:本课程内容紧密联系教材中关于数字电路、计时器等方面的知识,确保学生所学内容的科学性和系统性。
数字秒表设计
数字秒表一、设计思路:该秒表由6位七段LED显示器显示,从左到右依次是分的左右位(minl、minr),秒的左右位(secl、secr),毫秒的左右位(sec01l,sec01r),其中显示分辩率为0.01 s,计时范围是0—59分59秒99毫秒。
具有清零、启动计时、停止计时等控制功能。
控制开关为两个:启动(继续)/暂停记时开关和复位开关。
计时信号为时钟信号,精度为0.01s。
因此,输入端有三个分别为时钟输入端clk,启/停输入端en,清零输入端reset,输出端有六个分别为分的十位个位,秒的十位个位,毫秒的十位个位,输出给六个七段LED数码管。
该系统可以分为两个模块计时模块和显示模块。
计时模块执行计时功能,经计数器累加计数,形成六十进制的计数器和一百进制的计数器。
显示模块的作用是将计时值在LED数码管上显示出来。
计时电路产生的值经过BCD七段译码后,驱动LED数码管。
二、各模块原理图计时器模块顶层设计实体原理图三、各模块源程序--计时器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity miaobiao isport(clk,en,reset:in std_logic; --时钟频率要求100Hz sec01l,sec01r:out integer range 0 to 9; --0.01秒的左右显示位 secl,secr:out integer range 0 to 9; --秒的左右显示位minl,minr:out integer range 0 to 9); -- 分的左右显示位end entity miaobiao;architecture art of miaobiao issignal sec01t:integer range 0 to 99;signal sect: integer range 0 to 59;signal mint: integer range 0 to 59;beginprocess(clk,en,reset) isbeginif(reset='1') thensec01t<=0;sect<=0;mint<=0;elsif(clk'event and clk='1') thenif(en='1') thenif(sect=59 and sec01t=99) thensec01t<=0;sect<=0;mint<=mint+1;elsif(sec01t=99) thensec01t<=0;sect<=sect+1;mint<=mint;elsesec01t<=sec01t+1;sect<=sect;mint<=mint;end if;end if;end if;end process;sec01l<=sec01t/10;sec01r<=sec01t rem 10;secl<=sect/10;secr<=sect rem 10;minl<=mint/10;minr<=mint rem 10;end architecture art;--秒表显示模块library ieee;use ieee.std_logic_1164.all;entity display isport(clk:in std_logic;data:in integer range 0 to 9;displayo:out std_logic_vector(6 downto 0)); end entity display;architecture art of display isbeginprocess(clk,data)beginif(clk'event and clk='1') thencase data iswhen 0=>displayo<="0111111";when 1=>displayo<="0110000";when 2=>displayo<="1101101";when 3=>displayo<="1111001";when 4=>displayo<="0110011";when 5=>displayo<="1011011";when 6=>displayo<="0011111";when 7=>displayo<="1110000";when 8=>displayo<="1111111";when 9=>displayo<="1110011";when others=>displayo<="0000000";end case;end if;end process;end architecture art;--顶层模块library ieee;use ieee.std_logic_1164.all;entity topmiaobiao isport(clk,en,reset:in std_logic;displaysec01l:out std_logic_vector(6 downto 0); displaysec01r:out std_logic_vector(6 downto 0); displaysecl:out std_logic_vector(6 downto 0); displaysecr:out std_logic_vector(6 downto 0); displayminl:out std_logic_vector(6 downto 0); displayminr:out std_logic_vector(6 downto 0)); end entity topmiaobiao;architecture art of topmiaobiao iscomponent miaobiao isport(clk,en,reset:in std_logic;sec01l,sec01r:out integer range 0 to 9;secl,secr:out integer range 0 to 9;minl,minr:out integer range 0 to 9);end component miaobiao;component display isport(clk:in std_logic;data:in integer range 0 to 9;displayo:out std_logic_vector(6 downto 0)); end component display;signal sec01l,sec01r:integer range 0 to 9;signal secl,secr:integer range 0 to 9;signal minl,minr:integer range 0 to 9;beginmiaobcontr:miaobiao port map(clk=>clk,en=>en,reset=>reset, sec01l=>sec01l,sec01r=>sec01r,secl=>secl,secr=>secr,minl=>minl,minr=>minr);a1:display port map(clk,sec01l,displaysec01l);a2:display port map(clk,sec01r,displaysec01r);a3:display port map(clk,secl,displaysecl);a4:display port map(clk,secr,displaysecr);a5:display port map(clk,minl,displayminl);a6:display port map(clk,minr,displayminr);end architecture art;四、时序仿真图计时模块时序仿真图五、心得体会开始做设计时总是会犯一些错误,经过不停的改错不停的编译才能得到正确的程序。
数字秒表设计
数字时钟(秒表)秒表是人们日常生活中常用的测时仪器,它能够简单的完成计时、清零等功能,从一年一度的校际运动会到NBA、世界杯、奥运会,都能看到秒表的身影。
请详细分析秒表的计时策略和实现方法,给出相应的设计方案和仿真结果。
一、系统设计要求设计一块数字秒表,能够精确反映计时时间,并完成复位、计时功能。
秒表计时的最大范围为1小时,精度为0.01秒。
秒表可得到计时时间的分、秒、0.1秒等度量,且各度量单位间可正确进位。
当复位清零有效时,秒表清零并做好计时准备。
任何情况下,只要按下复位开关,秒表都要无条件的进行复位操作,即使在计时过程中也要无条件的清零。
设置秒表启动/停止开关。
按下该开关,秒表即刻开始计时,并得到计时结果;放开开关时,计时停止。
二、系统设计方案根据上述设计要求,可以预先设计若干个不同进制的计数器单元模块,然后将其进行例化组合来得到数字秒表系统。
要满足数字秒表的精度,首先要获得精确的计时基准信号,这里的系统精度要求为0.01秒,因此必须设置周期为0.01秒的时钟脉冲。
1/100秒、秒、分等计时单位之间的进位转换可以通过不同进制的计数器实现,我们分别设计十进制计数器和六进制计数器,每位计数器均能输出相应计时单位计数结果,其中,十进制计数器可以实现以0.01秒、0.1秒、1秒和1分为单位的计数,六进制计数器可以实现以10秒、10分为单位的计数,把各级计数器级联,即可同时显示百分秒、秒和分钟。
停止和启动功能可以通过计时使能信号完成。
信号有效时正常计时,否则没有脉冲输入到计数器,从而停止计时。
因为一旦按下复位清零开关数字秒表就无条件清零,因此其优先级必须高于计时使能信号。
数字秒表的系统框图如下图所示。
Clk0是周期为0.01秒的时钟脉冲,clr0为复位清零信号,en为计时使能信号,dataout[23…0]为输出信号,以不同的时钟周期为单位的计数器对所应的输入信号如下表所示。
三、VHDL编程数字秒表的实现主要依赖于两个计数器模块的设计:十进制计数器和六进制计数器。
数字式秒表的课程设计定稿
数字式秒表课程设计任务1.主要单元电路参数计算和元器件选择;2.画出总体电路图;3.借助仿真软件在计算机上进行仿真试验;4. 仿真成功后,在插线板上连接好设计的电路并进行调试和测试;5. 最终实现秒表的计时、停止、复位功能。
前言本次课程设计所要实现的三位数字式秒表是电子通信类专业实验环节中最基本的一项设计性实验,也是学生们必须熟练掌握的一项基本技能之一。
数字秒表是采用数字电路实现对分、秒、毫秒进行控制的数字显示计时装置,广泛用于体育比赛中,有很高的实用价值。
该数字计数系统由直流电源、计数电路、0.1秒脉冲发生电路、计数控制电路、锁存译码及数码显示电路组成。
其中核心的部分为0.1秒脉冲发生器、计数、译码及显示电路部分,而其它部分是为了使电子秒表功能更加完善而采取的附加控制部分。
本设计报告由内容摘要、设计目的、设计要求、方案论证、单元电路设计、电路图及电路工作原理、组装调试、设计成果评价、课程设计心得体会、参考文献和元器件清单十一大部分组成,力求将整个系统的设计过程、工作原理、以及心得体会完整的呈现出来。
一、方案论证选择1.1整体电路构思:利用已学的数模电知识进行单元电路的设计,再将各个单元电路进行级联成为整体电路图。
1.2方案1 用专用集成电路设计的秒表电路,应用时钟芯片驱动6位七段发光二极管显示时间。
1.3方案2 由基本数字逻辑单元进行设计,它由振荡器产生一定频率的方波脉冲,之后由分频器对方波脉冲进行分频,以达到设计电路所需的频率脉冲,脉冲作为时钟信号驱动计数器进行计数,最后由译码器译码并在数码管上显示。
备注:(1)图中直流电源的变压器为220V变9V的。
(2)图中的时钟电路由输出脉冲占空比为2/3的NE555定时器组成。
(3)计数电路由三个74LS160组成。
(4)锁存译码电路由三个CD4511组成。
(5)数码显示电路由三个共阴极七段数码显示器,和若干电阻组成。
1.4方案的选择:方案二与已学的数模电知识联系比较紧密,有较好的知识基础,能够将所学知识与实践联系起来,而且电路设计能够模块化,实现也比较简单,所需器件实验室也能够满足,因此最终选择方案二实现本次课程设计。
数字秒表设计实验报告
数字秒表设计实验报告数字秒表设计实验报告1. 引言•简要介绍实验的目的和意义2. 设计原理•介绍数字秒表的基本原理和工作流程3. 实验步骤•列出实验的具体步骤和操作流程4. 实验结果分析•分析实验过程中的数据和观测结果•对实验结果进行解释和讨论5. 实验结论•给出实验的总结和结论6. 实验改进•提出对实验的改进建议和优化方案7. 参考资料•引用相关的文献和资料来源8. 附录•将实验过程中的数据、图表等附加在文末作为附录以上为一个大致的框架,具体内容根据实验的实际情况进行填写。
本实验报告使用Markdown格式,通过使用标题和列表等语法,使文章更加清晰易读。
注意,为了遵守规则,本文中不包含实际的字母、图片或网址。
希望这份指导对你有所帮助!数字秒表设计实验报告1. 引言•实验目的:本实验旨在设计一个数字秒表,用于测量时间,并掌握数字电路的设计原理和实践技能。
•实验意义:准确测量时间是科学研究和生产实践中的重要要求,数字秒表作为计时测量的常用工具,具有广泛的应用价值。
2. 设计原理•数字秒表的基本原理是利用稳定的时钟信号源产生时间基准,通过计数器、时钟分频电路和显示模块实现对时间的测量和显示。
3. 实验步骤1.首先确定秒表的最高位数,根据实际需求选择适当的位数。
2.设计计数器电路,使用计数器芯片进行计数,根据最高位数确定计数器的范围。
3.设置时钟分频电路,通过将时钟信号分频得到适合计数器工作的时钟频率。
4.连接计数器和时钟分频电路,确保二者能够正确配合。
5.设计显示模块,将计数器的输出转换为数字形式,用于显示具体的时间数值。
6.连接显示模块和计数器,进行正确的信号传递和信息显示。
7.进行测量和验证,检查秒表的测量准确性并进行调整。
4. 实验结果分析•对实验过程中的数据和观测结果进行分析•通过比较测量结果与标准时间的差异,评估秒表的准确性•分析秒表存在的潜在问题并提出解决方案5. 实验结论•总结实验设计和实验过程•归纳出实验结果和分析的要点•得出对设计的数字秒表的结论,包括准确性、可靠性和实用性等方面的评价6. 实验改进•针对实验中发现的问题,提出改进的建议和优化方案•探讨可能的改进措施,包括电路设计、算法优化、显示方式等方面的改进7. 参考资料•[1] 电子技术实验教程,XXX出版社•[2] 数字电路原理与设计,XXX出版社8. 附录•实验数据表格•电路图和连接图•实验中使用的元器件清单以上为数字秒表设计实验报告的大致框架,实验的具体内容和结果分析部分需要根据实际情况进行填写。
简易数字秒表的设计
简易数字秒表的设计一、设计目的1、设计一个显示范围为24小时60分钟60秒的简易数字秒表。
2、掌握动态扫描电路的设计方法。
二、设计原理1、能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。
2、能利用实验系统上的按键实现“校时”“校分”功能:⑴按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后回“00”;⑵按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后回“00”,但不向“时”进位;按下“SC”键时,秒清零;⑷要求按下“SA”、“SB”或“SC”时均不产生数字跳变(SA”、“SB”、“SC”按键是有抖动的,必须对其消除抖动处理)。
3、能利用扬声器做整点报时:⑴当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频率可定为500Hz;⑵到达59分60秒时为最后一声整点报时,整点报时频率可定为1KHz。
三、设计结果1、仿真波形图。
2、数字秒表对应顶层原理图数字秒表对应VHDL程序代码-- 时_计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity HOUR isport( clk: in std_logic;hour: out std_logic_vector(7 downto 0));end HOUR;architecture behave of HOUR issignal shi : std_logic_vector(7 downto 0);beginprocess(clk)beginhour<=shi;if (clk'event and clk='1') thenif(shi<"00100100") then --<24小时if(shi(3 downto 0)="1001") thenshi<=shi+1;elseshi<=shi+'1';end if;elseshi<="00000000";end if;end if;end process;end behave;-- 分_计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MINUTE isport(clk : in std_logic;minute : out std_logic_vector(7 downto 0); shi_in : out std_logic );end MINUTE;architecture behave of MINUTE issignal fen : std_logic_vector(7 downto 0);beginprocess(clk)beginminute <=fen;if (clk'event and clk='1') thenif(fen(3 downto 0)="1001") thenif(fen="01011001") thenshi_in<='1'; fen<="00000000";elsefen<=fen+7;end if;elsefen<=fen+'1';shi_in<='0';end if;end if;end process;end behave;-- 秒_计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SECOND isport(clk,sc : in std_logic;second : out std_logic_vector(7 downto 0); fen_in : out std_logic );end SECOND;architecture SEC of SECOND issignal miao : std_logic_vector(7 downto 0);beginprocess(clk,sc)beginsecond <=miao;if(sc='1')thenmiao<="00000000";elsif (clk'event and clk='1') thenif(miao(3 downto 0)="1001") thenif(miao="01011001") thenfen_in<='1'; miao<="00000000";elsemiao<=miao+7;end if;elsemiao<=miao+'1';fen_in<='0';end if;end if;end process;end SEC;-- 控制块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity setup isport(clk,sel,co : in std_logic;clkout: out std_logic );end setup;architecture behave of setup issignal shi : std_logic_vector(7 downto 0); beginprocess(clk,sel,co)beginif(sel='1')thenclkout<=clk;elseclkout<=co;end if;end process;end behave;-- 报时_模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speek isport(clk1:in std_logic;second,minute :in std_logic_vector(7 downto 0);speak: out std_logic );end speek;architecture behave of speek issignal temp : std_logic_vector(1 downto 0);signal clk2 : std_logic;beginprocess(clk1,clk2)beginif(minute="01011001")thencase second iswhen"01010000"=>speak<=clk2;when"01010010"=>speak<=clk2;when"01010100"=>speak<=clk2;when"01010110"=>speak<=clk2;when"01011000"=>speak<=clk2;when"01011001"=>speak<=clk1;when others=>speak<='0';end case;elsespeak<='0';end if;end process;process(clk1)beginif(clk1'event and clk1='1')thenif(temp="01")thentemp<="00"; clk2<=clk1;elsetemp<=temp+1;clk2<=not clk1;end if;end if;end process;end behave;-- 译码器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY led_7 ISPORT(bcd: IN STD_LOGIC_VECTOR(3 DOWNTO 0);cout: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END led_7;ARCHITECTURE behave OF led_7 ISbeginPROCESS(bcd)begincase bcd iswhen "0000" => cout <= "1111110"; when "0001" => cout <= "0110000"; when "0010" => cout <= "1101101"; when "0011" => cout <= "1111001"; when "0100" => cout <= "0110011"; when "0101" => cout <= "1011011"; when "0110" => cout <= "0011111"; when "0111" => cout <= "1110000"; when "1000" => cout <= "1111111"; when "1001" => cout <= "1111011"; when others => null;end case;end process;end behave;-- 显示_模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led_control isport(clk,reset:in std_logic;second,minute,hour : in std_logic_vector(7 downto 0);daout:out std_logic_vector(3 downto 0);sel : out std_logic_vector(5 downto 0));end led_control;architecture behave of led_control issignal temp : std_logic_vector(2 downto 0);beginprocess(clk,reset)beginif(reset='1')thentemp<="000";elsif(clk'event and clk='1') thenif(temp="101")thentemp<="000";elsetemp<=temp+'1';end if;end if;end process;process(clk,reset)beginif(reset='1')thendaout<="0000"; sel<="000000";elsif(clk'event and clk='1') thencase temp iswhen "000"=>daout<=second(3 downto 0);sel<="000001";when "001"=>daout<=second(7 downto 4);sel<="000010";when "010"=>daout<=minute(3 downto 0);sel<="000100"; when "011"=>daout<=minute(7 downto 4);sel<="001000"; when "100"=>daout<=hour(3 downto 0);sel<="010000"; when "101"=>daout<=hour(7 downto 4);sel<="100000"; when others=>daout<="0000";sel<="000000";end case;end if;end process;end behave;。
数字秒表的设计与制作
电子技术综合课程设计课程:电子技术综合课程设计题目:数字式秒表所属院(系) 电气工程专业班级姓名学号:指导老师完成地点2011年 07 月 5 日任务书数字式秒表一、任务和要求:设计并制作一个数字式秒表,要求如下:1、用三位数码管及一个LED发光二极管显示秒表计时,格式如下:开机时数码管显示000,LED灯灭;当计时超过59秒时,LED灯亮;计到1分59秒时,过一秒,LED灯灭,同时数码管重新计时显示。
计时最小单位为0.1秒。
2、具有如下功能键:开始/清零键:按第一下时计时开始,同时显示;按第二下,停止计时,恢复到初始状态;固定显示键:按第一下时,显示固定,但计时仍继续;再按下时,显示从新时间开始。
3、要求自制0.1秒信号源。
4、设计并制作本电路所用直流电源。
二、提示和参考文献直流稳压电源见参考资料P23《数字电子技术实验任务书》实验六目录一前言 (3)二方案论证与对比选择 (4)2.1总体框图 (5)2.2 方案一 (5)2.3 方案二 (6)三单元电路与总体电路设计 (6)3.1 +5v电源设计 (7)3.2 0.1秒信号源设计 (8)3.3 加法计数器电路设计 (9)3.4 译码锁存电路设计 (10)3.5 显示电路设计 (11)3.6 手动复位开关设计 (12)四软件仿真 (12)4.1 Proteus软件的介绍 (12)4.2 电源仿真 (13)4.3 0.1秒的信号源的仿真 (13)4.4 总体电路图 (14)五实验的装调和数据分析 (15)5.1 电源的装调 (15)5.2 整体电路的装调 (15)5.3 数据分析 (16)六总结与体会 (16)七附录: (17)7.1 整体电路图 (17)7.2 集成电路芯片管脚图及其功能 (18)7.3 元器件清单 (21)7.4 参考文献 (22)一前言电子技术综合课程设计是集电路分析、模拟电子技术、数字电子技术以及电路实验、模拟电子技术实验、数字电子技术实验等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。
简易数字秒表的设计与实现
课程设计题目简易数字秒表的设计与实现学院信息工程学院专业通信工程班级姓名指导教师撒继铭2016 年 6 月26 日课程设计任务书学生姓名:专业班级:指导教师:撒继铭工作单位:信息工程学院题目: 简易数字秒表的设计与实现初始条件:本设计主要使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等来完成,用一组数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个能测量3名100米跑运动员短跑成绩的数字秒表。
要求用一组四位数码管显示时间,格式为00.00s,最大计数时间是99.99秒。
2)秒表设置3个开关输入(清零开关1个、记录开关1个、成绩开关1个)。
按下“记录”开关第一次,将记录并储存第一名运动员的成绩,以此类推。
当“记录”开关按下3次后,成绩计数结束。
3)成绩计数结束之后,连续按动“成绩”开关,可以把3个运动员的成绩循环显示在数码管上。
4)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1、年月日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、年月日至年月日,方案选择和电路设计。
3、年月日至年月日,电路调试和设计说明书撰写。
4、年月日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录简易数字秒表的设计与实现 (1)摘要 (1)Abstract (2)1 简易数字秒表原理 (4)1.1 简易数字秒表原理总述 (4)1.2 简易数字秒表原理框图 (4)1.3 方案选择 (4)1.3.1 初始方案 (4)1.3.2 方案比较 (5)1.4 单元电路的设计和元器件的选择 (5)1.4.1 555多谐振荡器 (5)1.4.2 计数系统 (7)1.4.3 译码系统及显示系统 (9)1.4.4 记录系统 (11)1.4.5 清零系统 (12)1.5 完整电路图 (12)2 仿真结果及分析 (13)2.1 仿真结果分析 (13)4 性能测试数据及分析 (15)5 收获、建议及体会 (16)7 原件清单 (17)8 参考文献 (18)简易数字秒表的设计与实现摘要随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,,掌握必要的数电知识已经成为当代大学生特别是理工类大学生必备的素质之一。
简单数字秒表设计课设
总体来说,通过这次暑期强化训练的学习,我越发感觉电子设计不 是死板的东西,是有很大科学性与艺术性的。不同芯片的使用,不同的 接线方法,不同的变量,不同的实现思路,经过组合后几乎可以称之为 艺术。这次课程设计使我对各种电路都有了大概的了解,也学会了常用 proteus软件的使用,在平时的理论学习中遇到的问题都一一解决,加 深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好 的开端,我受益匪浅。同时,让我明白:电子设计容不得纸上谈兵,只 有自己动手实际操作才会有深刻理解,才会有收获,所谓“千里之行, 始于足下”,这次课程设计最大的意义在于让我们迈出了通往工程师的 第一步。再次感谢指导老师的指导和帮助过我的同学们。
管显示分钟的两位。本次设计中选择前一种方法。
3. 设计方案
先应根据要求,设计出总框图,然后按 框图设计具体电路,这样可避免在设计过程中产生错误。按照设计原 理,数字式秒表的电路总框图如图1所示。它主要由控制电路,脉冲发 生器,与非门,译码显示,复位电路等部分组成。
图9 7447管脚图
LT’,RBI’接逻辑开关,D,C,B,A接8421码拨开开关,a,b,c, d,e,f,g七段分别接显示器对应的各段。地线,电源线接好后,若线 路无误后,接通电源就开始实验论证: (1)LT’=0,其余状态为任意态,这时LET数码管全亮。 (2)再用一根导先把0电平接到BI’/RBO’端,这时数码管全灭,不显 示,这说明译码器显示是好的。 (3)断开BI’/RBO’与0电平相连的导线,使BI’/RBO’悬空。且使
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
南昌航空大学数字秒表的设计与制作南昌航空大学测光学院110812班魏铄岩14课程设计论文题目:数字秒表的设计与制作学院:测试与光电工程学院专业名称:测控技术与仪器学生姓名:魏铄岩班级学号:11081211指导教师:金信鸿二〇一四年六月数字秒表的设计与制作学生姓名:魏铄岩班级:110812—11指导老师:金信鸿摘要:通过本电路掌握rs触发器,单稳态触发器,时针发生器及计时器,译码显示单元电路的应用,u1a,u1b构成基本rs触发器,其作用是启动和停止秒表工作,按动k2,u1a-3为高电平,u1b-6为低电平,计数停止。
.按动k1,计数器清零并开始计数。
.U2a,u2b构成微分型单稳态触发器,其输入触发负脉冲加到计时器的清除端mr。
.其职能是为计数器提供清零信号。
.Ne555构成多谐振荡器,调节rl可以使得555输出100hz的矩形波,u6,u7,u8构成计数器及分屏器。
.74ls160为同步十进制加法计数器.u8作为十分频器,对100hz信号进行十分频,得10hz矩形波,即在其进位输出端tc取得0.1秒矩形脉冲信号,计数器u6,u7接成8421码十进制形式。
.同时计数器u7又经十分频得到1秒的矩形脉冲信号作为u6的时针信号.计数器u6,u7分别作为秒表和0.1秒位数器他们的输出端与译码单元的对应输入端连接,可现实0.1-9.9秒数字。
.U4,u5和数码管形成显示单元,74ls247为bcd码输入的四线-七段译码器,数码管采用共阳数码管.关键词:rs触发器,单稳态触发器,时针发生器及计时器,译码显示单元电路指导老师签名:课程设计任务书数字式秒表的设计(一)、任务和要求:设计并制作一个数字式秒表,要求如下:1、用三位数码管及一个LED发光二极管显示秒表计时,格式如下:○秒十位秒个位秒十分位↑分开机时数码管显示000,LED灯灭;当计时超过59秒时,LED灯亮;计到1分59秒时,过一秒LED灯灭,同时数码管重新计时显示。
.计时最小单位为0.1秒。
.2、具有如下功能键:开始/清零键:按第一下时计时开始,同时显示;按第二下,停止计时恢复到初始状态;固定显示键:按第一下时,显示固定,但计时仍继续;再按下时,显示从新时间开始。
.3、要求自制0.1秒信号源。
.4、设计并制作本电路所用直流电源。
.(二)、提示和参考文献直流稳压电源见参考资料P23《数字电子技术实验任务书》实验六前言课程设计是针对某一理论课程的要求,对学生进行综合性实践训练的实践教学环节,可以培养学生运用课程中所学的理论知识与时间紧密结合,独立地解决实际问题的能力。
.本次课程设计的数字式秒表的电路是利用不同功能的芯片和逻辑电路构成的数字电路,其中所需的芯片如计数器、译码器、锁存器等,它与我们所学的数电、模电密切相关。
.数字、模拟电子技术是电子、通信专业的重要基础课程,其特点之一是实践性强,因此电子电路课程设计也是其教学过程的重要组成部分。
.课程设计的目的及意义:1、基础知识更深层次的理解和掌握;2、逻辑思维的培养和锻炼;3、各学科之间的联系与整合;4、培养严谨的治学态度和优良的学习作风;5、培养各小组成员之间的团结协作精神。
.电子技术综合课程设计应达到如下基本要求:1、综合运用所学到的理论知识(比如数、模电)去独立完成一个设计课题;2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力;3、进一步熟悉需用电子器件的类型和特性,并掌握合理选用元器件的原则;4、学会电子电路的安装与调试技能;5、进一步熟悉各种电子仪器(如示波器)的正确使用方法;6、学会撰写课程设计总结报告;7、培养严肃认真的工作作风和严谨的科学态度。
.做课程设计的我们也应该做到以上基本要求,努力完成此次课程设计,以达到此次课程设计的意义。
.在此非常感谢指导老师的耐心教导,以及组员们的竭力协作。
.目录TOC \o "1-3" \h \z \u课程设计任务书 (4)前言 (5)1、方案的论证和选择 (8)1.1整体结构框图 (8)1.2设计方案 (8)1.3方案的选择 (10)2、单元电路的设计 (10)2.1电源的设计 (10)2.2信号源的设计(0.1S) (11)2.3控制电路的设计 (12)2.3.1 开始/暂停计时开关 (12)2.3.2 清零开关 (12)2.4显示电路的设计 (13)2.4.1 六进制计数器的设计 (14)2.4.2十进制计数器的设计 (15)2.4.3译码锁存电路设计 (16)3、软件仿真 (16)3.1仿真软件的介绍 (16)3.1.1 multisim简介 (16)3.1.2 proteus 7简介 (17)3.2电源的仿真 (17)3.3信号源仿真 (18)3.4显示和控制电路的仿真 (19)3.5总体电路图初稿 (20)4、电路的装调和数据分析 (22)4.1电源的装调 (22)4.2信号源的装调 (22)4.3显示译码锁存电路的装调 (22)4.4控制电路的装调 (22)4.5整体电路装调 (23)4.6数据处理 (23)4.7改进措施 (23)5、总结 (24)6、附录: (25)6.1总体电路图 (25)6.2元器件清单 (25)6.3芯片管脚图 (27)参考文献: (30)1、方案的论证和选择1.1整体结构框图根据任务书的要求,我们的整体思路框图如图1所示:图1 数字秒表组成框图1.2设计方案这次我们组设计的数字秒表实际上是一个计数及其一些简单的控制电路,对10HZ频率的信号进行计数、锁存、清零及其显示。
.对10H Z时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。
.但是在要求不高的情况下也可用555定时器构成的信号源。
.再对该信号进行分频使之成为有用信号及10Hz信号,再用计数器对其进行计数,用译码显示电路进行显示,即可完成。
.该系统是否能满足最终要求最重要的是信号源产生的信号是否稳定,所以方案有以下两种:方案一使用具有译码、锁存功能CD4511,计数器74LS160来设计显示控制部分方案二使用单独的芯片,译码驱动器74LS47,锁存器74LS373,计数器74LS160设计的显示控制部分 74LS16055574LS160 74LS160 CD4511CD4511 CD4511 74LS761.3 方案的选择方案一和方案二的不同主要是译码和锁存部分。
.方案一是用CD4511,该芯片集译码和锁存于一体,使用的芯片少,使电路大大的简化,总体的设计比较合理。
.方案二使用了译码器和锁存器独立的设计,即译码器用74LS48,而锁存器用74LS373组合起来对计数器进行操作,而且方案二多了一片74LS160,这片74LS160主要使用其清零端对二极管进行清零操作。
.方案二总体来说使用芯片较多,布线较麻烦。
.最终考虑到实验室没有CD4511,于是只好采用方案二。
.2、单元电路的设计2.1电源的设计根据实验要求必须接入是220v 的交流电,而实验所用电源都使直流5v,所以需要一个8-9v的变压器,变压器只能转化成交流电,故此处可使用整流电路加上滤波和稳压就可以得到所需电压。
.它的一般设计步骤如下:1. 变压器得到可用交流电; 55574LS16074LS160 74LS160 74LS160 74LS37374LS4774LS47 74LS373 74LS47 74LS3732.经过整流二极管对其整流;3.再用电容对其滤波;4.最后用7805稳压系统对其进行稳压。
.其电源的总电路图如图2所示:图2 电源电路图2.2 信号源的设计(0.1s)555定时器是一种多用途的数字-模拟混合集成电路,用它能方便的构成施密特触发器、单稳态触器和多谐振荡器。
.由于使用灵活方便,所以它在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域得到广泛的应用。
.实验所需信号时频率为10Hz的信号,故用555定时器做一个占空比为1/2,周期为0.1s的信号源,具体电路图如图3所示:图3 0.1s信号源2.3 控制电路的设计2.3.1开始/暂停计时开关本设计使用的是双JK触发器,属下降沿触发的触发器。
.它的1Q输出端接8D锁存器74LS373的LE端来对译码器74LS47进行锁存, 当下降沿到来的时候,从而达到固定显示的目的。
.2.3.2清零开关JK触发器的2Q输出端接计数器74LS160的RD非端,当下降沿到来的时候,从而使计数器清零。
.通过接入脉冲式开关及其上拉电阻来产生脉冲,再将JK触发器接成T触发器,这样保证了有单脉冲,之后电路状态必翻。
.如图4所示,J和K都必须接高电平保证触发器成为一个T触发器使之成为必翻电路。
.当脉冲开关没按下时时钟信号是一个低电平;当脉冲开关按下时时钟信号由低电平变成高电平,导致Q也有相应的变化。
.这样就可以手动控制电路状态。
.图4 控制电路2.4 显示电路的设计计数器是数字系统中使用最多的时序电路。
.它是由触发器和控制门组成。
.它不仅可以用来计数,还可以用于数字系统的定时、分频执行数字运算等。
.计数器的种类繁多,分类方法也有多种。
.按计数器中的触发器翻转次序可分为异步和同步计数器;按计数器的编码方法分为二进制、十进制和其它进制计数器;按计数过程中的数字增减分为加法与减法计数器。
.本次课设所用的74LS160就是同步置数、异步清零的。
.因为74LS160兼有异步清零和同步置数功能,所以置零法和置数发均可采用。
.由于置零法信号随着计数器被置零而立即消失,所以置零信号持续时间极短,如果触发器的复位速度有快有慢,则可能动作慢的触发器还未来得及复位,置零信号已经消失导致电路误动作,因此这种接法的电路可靠性不高。
.为了克服这一缺点在本次设计中采用置数法来设计电路。
.这部分是由74LS160N、74LS00N、74LS48、4205共阴数码管和74LS373组成。
.由于74LS160N计数器是一个十进制的、异步清零的,当其第六个状态到达时必须清零。
.设计时将74LS160的置数端全部接地,输出端Q2,Q3端经与非门接到LOAD端,使其第六个状态到达时整体置零。
.具体电路图如图5所示:图5 六进制电路图这部分是由74LS160N、74LS48、4205共阴数码管和74LS373组成。
.设计时将74LS160的置数端全部接地,由于74LS160是一个十进制计数器所以可以直接连接,LOAD接高电平。
.故电路如图6所示:图6 十进制电路2.4.3译码锁存电路设计在数字系统中常常需要将测量或处理的结果直接显示成十进制数。
.为此,首先将BCD 码表示的结果送到译码器进行译码,用它的输出去驱动显示器件,由于显示器的工作方式不同,对译码器的要求也就不同,译码器的电路也不同。