电子电工综合实验-多功能数字计时器设计

合集下载

电工电子综合实验

电工电子综合实验

[键入文字]电子电工综合实验实验报告多功能数字计时器设计院系:电子工程与光电技术学院专业:通信工程指导老师:完成时间:2009.9目录1.实验目的2.设计内容简介················3.设计要求····················4.设计电路原理图··············5.电路逻辑原理图及工作原理6.各单元电路原理及逻辑设计6.1秒信号发生器··················6.2计时电路······················6.3清零电路·······················6.4校分电路······················6.5报时电路·······················6.6起停原理及电路图···6.7总电路图7.电路安装及调试说明8.实验感想····················9.附录························9.1工具及器件清单················9.2各元件的引脚图及功能表········10.参考文献····················1.实验目的:1)掌握常见集成电路的工作原理和使用方法。

电工电子综合实验59分59秒.doc

电工电子综合实验59分59秒.doc

电工电子综合实验——数字计时器的设计I、设计要求一、实验目的:1、掌握常见集成电路工作原理和使用方法。

2、学会单元电路设计与组合方法。

二、实验要求:实现00分00秒~59分59秒数字计时器。

三、实验内容:1、设计实现信号源电路(f1=1Hz,f2=2Hz,f3=500Hz,f4=1KHz)。

2、设计实现00分00秒~59分59秒数字计时器(计数、译码、显示)。

3、设计实现快速校分电路(K1,2Hz,校分时秒停止,含防抖动功能)。

4、设计实现可在任意时刻复位(K2)。

5、设计实现整点报时电路(59分53秒、59分55秒、59分57秒【三低~f3】,59分59秒【一高~f4】)。

6、整体完成00分00秒~59分59秒数字计时器电路。

四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 两片(4输入与门)74LS74 一片(D触发)2、电容,电阻3、共阴极双字屏两块。

五、数字计时器逻辑框图:II、各元件引脚布局图及逻辑功能一、NE555 一片(多谐振荡):1、引脚布局图:2、逻辑功能说明:NE555是在电子科技行业广为应用的一种集成电路,用途十分广泛。

在本电路中,构成时钟发生器,是整个电路的核心。

其引脚布局图如图1所示。

其中引脚1为接地端,引脚2和引脚6为输入端,引脚3为输出端,引脚4为复位清零端,引脚5为调整端(通常空置或通过一个电容接地),引脚7位放电端,引脚8为电源。

3、逻辑功能表:(引脚4 )二、CD4040 一片(分频):1、引脚布局图:2、逻辑功能说明:CD4040是一种常用的12分频集成电路。

当在输入端输入某一频率的方波信号时,其12个输出端的输出信号分别为该输入信号频率的2-1~2-12,在电路中利用其与NE555组合构成脉冲发生电路。

电子电工综合试验2——电子计时器

电子电工综合试验2——电子计时器

电子电工综合试验2——电子计时器电子计时器一:实验内容及要求内容:1:安装调试四位BCD码译码显示电路2:设计、安装、调试秒脉冲发生器电路3:设计、安装、调试六十进制计数器电路(分位秒位)4:设计、安装、调试整点报时电路(59分53秒、59分55秒、59分57秒报时低声,59分59秒报时高声)5:设计、安装、调试校分、清零电路。

要求:校分电路要防抖动,清零电路任意状态可以清零。

6:连接1——5各项设计电路实现一小时整点报时的电子计时器电路。

要求:设计正确,布局合理,排线整齐,功能齐全。

二:电路设计框图数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,其中控制电路可以分为校分电路、清零电路和报时电路。

其具体的原理框图如下:译码显示电路脉冲发生电路计时电路报时电路校分电路清零电路以下是各部分原理:1:脉冲发生电路脉冲发生电路是为计时器提供计数脉冲的,因为设计的是计时器,所以需要产生1Hz的脉冲信号。

这里采用NE555集成电路和分频器CD4040构成。

555定时器不仅体积小,而且用它来构成多谐振荡器,波形稳定,上升沿和下降沿小,振幅大,占空比可调,因此越来越广泛地被用作振荡器。

而后通过CD4040产生几种频率供后面使用。

下面是脉冲发生电路的原理图:其中1HZ频率用于计时器电路,2HZ频率用于校分、清零电路,500HZ和1KHZ 用于整点报时电路。

2:计时电路计时电路钟的计数器,可以采用二-十进制加法计数器CD4518实现。

60秒为1分,将分和秒的个位、十位分别在七段数码显示器上显示出来,从0分0秒到59分59秒,然后重新计数。

以下是计时电路的原理图:校分电接D触发这部分电路中上半部分对应的是分的十位和个位,下半部分对应的是秒的个位和十位。

清零信号最后由清零电路统一提供。

秒的个位的CP端和分的个位的EN端都由校分电路提供信号。

根据计数特点,在1000时,个位向十位发一个高位信号,但十位不变化,在个位由1001变为0000时,又向十位发了低位信号,十位由0000变为0001,依次计数下去。

多功能数字计时器设计

多功能数字计时器设计

电工电子综合实验(Ⅱ)实验报告多功能数字计时器设计姓名:I、设计要求一、实验目的1.掌握常见集成电路的工作原理和使用方法。

2.培养学生分析问题解决问题的能力。

3. 提高学生设计单元电路的,调试电路的实验技能二、实验内容及要求1. 应用CD4511BCD码译码器﹑LED双字共阴显示器﹑300Ω限流电阻设计﹑安装调试四位BCD译码显示电路实现译码显示功能。

2. 应用NE555时基电路、3KΩ、1KΩ电阻、0·047UF电容和CD4040计数分频器设计,安装,调试秒脉冲发生器电路(输出四种矩形波频率 f1=1HZ f2=2HZ f3≈500Hz f4≈1000Hz)。

3. 应用CD4518BCD码计数器、门电路,设计、安装、实现00′00″---59′59″时钟加法计数器电路。

4. 应用门电路,触发器电路设计,安装,调试校分电路且实现校分时停秒功能(校分时F2=2Hz)。

设计安装任意时刻清零电路。

5. 应用门电路设计、安装、调试报时电路59′53″,59′55″,59′57″低声报时(频率f3≈500Hz),59′59″高声报时(频率f4≈1000Hz)。

整点报时电路。

H=59′53″·f3+59′55″· f3+59′57″·f3+59′59″·f46.联接试验内容 1.—5.各项功能电路,实现电子计时器整点计时﹑报时、校分、清零电路功能。

三、实验要求设计正确、布局合理、排线整齐、功能齐全。

四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 两片(4输入与门)74LS74 一片(D触发)2、电阻:1KΩ一个3KΩ一个300Ω二十八个3、电容:0.047uf 一只4、共阴极双字屏两块五、器件引脚图及功能表1.CD4511图 CD4511引脚图2、共阴双字显示器3、NE555NE555功能表如下:(引脚4 )V4、CD40405、CD4518CD4518逻辑功能如表所示。

南理工电子电工实验2

南理工电子电工实验2

电子电工综合实验(II)实验报告——数字计时器设计班级:11042101学号: 1104210121姓名:蒋华熔目录一、实验目的 (3)二、实验要求 (3)三、实验内容 (3)四、实验器件 (3)五、元器件引脚图及功能表 (4)六、实验原理 (10)1.秒脉冲发生电路 (11)2.计时器电路 (11)3.译码显示电路 (12)4.报时电路 (13)5.校分电路 (14)6.清零电路 (15)七、逻辑图 (16)八、引脚接线图 (16)九、实验总结 (16)参考文献 (17)一、实验目的1.掌握常见集成电路的工作原理和使用方法。

2.学会单元电路的设计方法和单元间设计组合。

二、实验要求实现从00′00″到59′59″的多功能数字计时器,并且满足规定的清零,快速校分以及报时功能的要求。

三、实验内容1.设计、安装、调试脉冲发生电路。

2.设计、安装、调试59′59″计时器电路。

3.设计、安装、调试译码显示电路。

4.设计、安装、调试任意状态清零电路。

5.设计、安装、调试快速校分电路。

6.设计、安装、调试整点报时电路(59′53″、59′55″、59′57″时发出频率为500Hz的低声;59′59″时发出频率为1KHz的高声)。

7.设计1-5项联接构成数字计时器电路四、实验器件1、集成电路:NE555 1片(多谐振荡)CD4040 1片(分频)CD4518 2片(8421BCD码十进制计数器)CD4511 4片(译码器)74LS00 3片(与非门)74LS20 1片(4输入与非门)74LS21 2片(4输入与门)74LS74 1片(D触发器)2、电阻:1KΩ1只3KΩ1只330Ω(300Ω)28只3、电容:0.047uf 1只4、共阴极双字屏显示器两块。

五.元器件引脚图及功能表1.NE555 1片(多谐振荡):(1)引脚布局图:图1 NE555引脚布局图(2)逻辑功能表:(引脚4 )2.CD4040 1片(分频):(1)引脚布局图:图2 CD4040引脚布局图(2)逻辑功能说明:CD4040是一种常用的12分频集成电路。

电工电子综合实验数字计时器设计-实验报告

电工电子综合实验数字计时器设计-实验报告

电工电子综合实验数字计时器设计实验报告实验目的:通过设计数字计时器,学会了解、掌握数字电路设计方法,加深了对时序逻辑电路、数字电路逻辑设计的理解。

同时通过实验,掌握了数字计时器的实际应用。

实验设备:1. 数字逻辑实验箱2. 7400、7402、7474、7447、555、7490、74138 等集成电路3. 面包板4. 丝印PCB板5. 电路图绘图软件6. 万用表7. 示波器实验原理:数字计时器是一种可以按照一定规律进行计数的仪器。

数字计时器要求计数精度高,计数速度快,计数范围广,易于操作等特点。

其基本实现原理是将高低电平不断切换写入计数器,计数器对输入的高低电平进行计数。

实验步骤:1.搭建基本框架电路(a) 用7400 NAND 双输入门和555计时器构成高电平宽度为1s 的方波输出脉冲。

(b)通过7402 NOR门和7474锁存器控制计数器数据输入端。

(c)接上两个7490模数计数器,构成0-99计数器。

(d)加上LED数码管和BCD-7定码器,组成数字显示模块。

2.绘制电路原理图(a)按照以上搭建步骤绘制电路原理图。

(b)尽量做到简明、清晰。

3.电路测试(a)连接电路后,使用万用表检测电路各组成部分是否正常。

(b)接上示波器,检测计数器时序是否正确、脉冲是否稳定、脉冲宽度是否正确。

(c)通过手动输入不同数字,测试数字显示模块是否正常。

实验结论:通过以上实验,我们成功设计出了数字计时器电路,并对其进行了测试。

该计时器具有较高的计数精度,计数速度快,计数范围广,易于操作等优点,可以广泛应用于各种计时场合。

同时,通过实验,我们深入了解了时序逻辑电路和数字逻辑电路设计原理及应用,进一步增强了我们的实践能力和创新思维。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

电子电工实验报告——数字计时器

电子电工实验报告——数字计时器

南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。

② 了解各单元再次组合新单元的方法。

2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。

3.实验内容① 设计实现信号源的单元电路。

(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。

③ 设计实现快速校分单元电路。

含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。

④ 加入任意时刻复位单元电路(开关K2)。

⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。

二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。

电阻:1KΩ一只,3KΩ一只,150Ω四只。

电容:0.047uF 一只。

LED共阴双字屏二块。

1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。

图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。

南京理工大学电工电子实验报告(多功能数字计时器设计)

南京理工大学电工电子实验报告(多功能数字计时器设计)

南京理工大学电工电子实验报告(多功能数字计时器设计)1. 电路功能设计要求介绍2. 电路原理简介3. 单元电路设计3.1 脉冲发生电路3.2 计时电路3.3 译码显示电路3.4 清零电路3.5 校分电路3.6 仿电台报时电路4.总电路图5.电路调试和改进意见6.实验中遇到的问题、出现原因及解决方法7.实验体会8.附录8.1 元件清单8.2 芯片引脚图和功能表9.参考文献1.电路功能设计要求1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);12)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(校分隔秒)5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz);6)系统级联。

将以上电路进行级联完成计时器的所有功能。

7)可以增加数字计时器附加功能:定时、动态显示等。

2. 电路原理简介数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:3. 单元电路设计3.1 脉冲发生电路振荡器是数字钟的核心。

采用石英晶体构成振荡器电路,产生稳定的高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲(1HZ)。

分频器的功能主要有两个:一是产生标准秒脉冲(1HZ)。

二是提供功能扩展电路所需驱动脉冲信号(1KHZ、2KHZ)。

15 采用晶体的固有频率为32768HZ=2HZ。

2CC4060、74LS74电路图如下所示:2Q5Q4Q143.2 计时电路CC4518(分位、秒个位)、74LS161(秒十位)“0”“1”“o”“0”3.3 译码显示电路译码器 CC4511 显示器共阴LED七段字型数码管 33.4 清零电路3.5 校分电路3.6 仿电台报时电路44.总电路图Q5Q42Q145.电路调试和改进意见先接显示电路,显示电路接完,接入电源,当三个数码管都能正常显示8的时候说明接入正确。

多功能计时器设计报告

多功能计时器设计报告

多功能计时器设计报告一、引言多功能计时器是一种常见的电子设备,能够用于各种场景中的计时功能,如厨房中的烹饪计时、运动场上的比赛计时等。

它不仅能提供精确的计时功能,还能根据用户需求提供多种定时和提醒功能。

本设计报告将详细介绍多功能计时器的功能、硬件设计以及软件设计。

二、多功能计时器的功能需求1.计时功能:提供从1秒到24小时的计时功能,能够显示倒计时时间或者当前计时时间,并能精确到毫秒级。

2.定时功能:能够设置定时开关功能,例如定时闹铃功能,在预定时间到达时触发报警器。

3.计次功能:能够记录计时的次数并显示。

4.报警功能:能够提供声音或者光提示以提醒用户计时完成或者时间到达。

5.显示功能:能够清晰显示计时器的各项参数,包括当前时间、计时时间、计次次数等。

三、多功能计时器的硬件设计1.主控芯片:使用低功耗、高性能的单片机作为主控芯片,例如STM32系列。

2.显示屏:使用液晶显示屏作为主要显示界面,能够清晰显示计时器的各项参数。

3.蜂鸣器:用于提供报警功能,能够发出清晰的声音以提醒用户。

4.按钮:用于用户操作,包括启动计时、暂停计时、重置计时等。

5.电源:使用电池供电,以方便携带和使用。

四、多功能计时器的软件设计1.系统初始化:在开机时进行系统初始化,包括设置计时参数、显示界面初始化等。

2.计时功能设计:包括开始计时、计时暂停、计时重置等功能。

3.定时功能设计:允许用户设置定时时间和计时时间到达时的操作。

4.计次功能设计:记录计时次数并在显示屏上显示。

5.报警功能设计:设定报警时间到达时发出声音或者光提示。

6.显示功能设计:在液晶显示屏上实时显示计时参数,包括计时时间、定时时间、计次次数等。

五、多功能计时器的测试与改进1.功能测试:对多功能计时器进行各项功能的测试,如计时、定时、报警、显示等功能的正确性测试。

2.性能测试:对多功能计时器的性能进行测试,如计时准确性、显示清晰性等。

3.用户测试:邀请用户测试多功能计时器的易用性和实用性,并根据用户反馈进行改进。

电工电子综合实验数字计时器设计 实验报告

电工电子综合实验数字计时器设计 实验报告

数字计时器设计实验报告自动化学院电子信息工程专业指导:电子技术中心目录一,实验目的二,实验仪器三,设计内容简介四,设计原理五,分部电路图及原理六,电路安装与调试七,实验中遇到的问题及解决方法八,心得体会九,附录一.实验目的1、掌握常见集成电路的工作原理和使用方法,学会单元电路的设计方法。

2、锻炼学生的分析问题解决问题的能力以及设计电路和动手组装电路的能力。

二.实验仪器电源发生器,三用表,示波器,镊子,剪刀三.设计内容简介1、设计一个脉冲发生电路,为计时器提供脉冲、为报时电路提供驱动蜂鸣器的脉冲信号;2、设计计时电路,完成 0分00秒—9分59秒计时功能;3、设计报时电路,使数字计时器从9分53 秒开始报时,每隔两秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1KHZ),9分59秒发高音(频率2KHZ);4、设计校分电路,在任何时候,拨动校分开关,可进行快速校分;5、设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零;6、系统级联调试,将以上电路进行级联完成计时器的所有功能;四.设计原理数字计时器是一个对标准频率(1Hz)进行计数的计数电路。

由于计数的起始时间与所需要的起点可能会不相同,所以需要在电路上加一个校分电路,以便将分时刻跳到想要的时刻(这也是为什么校分电路先于蜂鸣电路的原因,这样做节省了很多时间)。

为了使标准的1Hz时间信号准确并且稳定,实验中我们使用了石英晶体振荡器构成脉冲发生电路。

为了使电路更加简单,实验中我们使用了一片CD4518的集成块对计时器的秒的个位和分的十位进行计数,用74LS161构成模六(六进制)计数器实现对秒的十位进行计数,当低位计数器计满10时向高位产生一个脉冲信号,触发高位计数器计数。

由于所使用的计数器都有异步清零端,故可通过简单的电路就可以使电路具有开机清零功能和随时清零功能。

五. 分部电路图及原理(1) 脉冲电路脉冲电路为计时电路提供频率为1Hz 的秒脉冲计数信号,可以用石英晶体振荡器32768和分频器cc4060构成。

多功能计时电路的设计

多功能计时电路的设计

研究生电类综合实验(C1)院系:材料科学与工程学院姓名:张雪学号:指导教师:蒋立平实验日期:电话:成绩:____________________多功能计时电路的设计——数字钟1.设计内容简介要求大家运用所学集成电路的工作原理和使用方法,学会在单元电路的基础上进行小型数字系统设计。

要求设计一个数字计时器,可以完成0分00秒—9分59秒的计时功能,并在控制电路的作用下具有开机清零、快速校分功能。

采用中小规模集成电路实现,培养大家分析问题解决问题的能力,提高大家设计电路、调试电路的实验技能。

设计制作一个0分00秒~9分59秒的多功能计时器,设计内容如下:1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ)。

2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(选做)5)系统级联。

将以上电路进行级联完成计时器的所有功能。

2.总体电路连接图图1.0总体电路连接图3.实验原理及框图图1.1 三位计时器示意图计时电路示意图如图1.1所示,计时电路完成计时功能,并且将计时结果传送至显示电路,进而实现显示功能。

原理框图如图1.2所示,主要由计时电路,秒信号发生电路,清零电路和译码显示电路组成。

计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。

图1.2 数字钟的原理框图4 单元电路设计1.秒信号发生电路图1.3 秒信号发生电路秒信号发生电路为计时电路提供驱动信号,电路原理如图1.3所示。

为提供较为精确的秒信号,本设计中振荡电路采用215Hz的石英晶体管为主体的晶振电路,并作为电路的秒信号源。

由于振荡电路产生的源信号为215Hz,而秒的基准信号频率为1Hz,则需要对215Hz信号进行分频,得到1Hz信号。

电子电工综合实验2多功能数字计时器

电子电工综合实验2多功能数字计时器

/* 电工电子综合实验(Ⅱ)多功能数字计时器设计实验报告目录一. 实验目的二. 实验内容简介三. 设计要求四. 设计原理五. 实验中遇到的问题及解决方法六. 实验总结七. 附件一. 实验目的1.运用所学集成电路的工作原理和使用方法,学会在单元电路的基础上进行小型数字电路系统设计。

2.培养分析问题解决问题的能力,提高设计电路,调试电路的实验技能。

二. 实验内容简介本次实验采用中小规模集成电路,设计实现一多功能数字计时器,该计时器由脉冲发生电路,计时电路,译码显示电路,和控制电路(包括清零电路,校分电路,和报时电路)等四部分组成,可完成开机清零、快速校分、整点报时等功能,并附加起停电路,实现电路的起停功能。

三. 设计要求1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行计时器清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(校分隔秒)5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz);6)系统级联。

将以上电路进行级联完成计时器的所有功能。

7)可以增加数字计时器附加功能:例如数字计时器定时功能、电路起停功能、电路采用动态显示等。

四.设计原理1.整体电路设计原理数字计时器通过32678Hz石英晶体振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器记满60后向分计数器进位。

计数器的输出经译码器送显示器。

记时出现误差时可以用校时电路进行校分,校秒。

扩展电路为一启停电路,利用74LS161的计数和置数实现电路的起停功能。

电工电子综合实验-数字计时器设计

电工电子综合实验-数字计时器设计

电工电子综合试验——数字计时器实验报告专业:班级:学号:姓名:指导老师:实验室:完成时间:一、设计电路功能要求............................................................... - 2 -二、设计电路总体原理框图 ....................................................... - 3 -三、电路工作原理简述............................................................... - 3 -四、各单元电路原理及逻辑设计................................................ - 4 -1.脉冲发生电路 ................................................................................. - 4 -2.计时电路和显示电路...................................................................... - 4 -3.报时电路......................................................................................... - 5 -4.较分电路......................................................................................... - 6 -5.清零电路......................................................................................... - 7 -五、引脚图及真值表................................................................... - 7 -六、电路安装及调试说明 ......................................................... - 11 -七、收获体会及建议................................................................. - 11 -八、总体原理电路 .................................................................... - 12 -九、元器件清单 ........................................................................ - 12 -十、设计参考资料 .................................................................... - 13 -一、设计电路功能要求设计一个数字计时器,可以完成0分00秒~9分59秒的计时功能,并在控制电路的作用下具有开机清零、快速校分、整点报时功能。

数字计时器

数字计时器

电工电子综合实验报告—数字计时器院系:电光学院专业:通信工程班级:07042201学号:0704220100姓名:* * *指导教师:李元浩时间:2009.09.17—2009.09.20目录1.设计电路功能要求(1)2.设计电路原理图(1)3.电路逻辑原理图及工作原理(2)4.各单元电路原理及逻辑设计4.1脉冲发生电路(2-3)4.2计时电路(3-4)4.3显示电路(4)4.4清零电路(5)4.5校分电路(5)4.6报时电路(6)5.电路安装与调试说明(6-7) 6.对电路的改进意见(7)7.收获体会及建议(7)8.设计参考资料(7)9.附录(8-10)1.设计电路功能要求本实验要求设计一个0分00秒-9分59秒的多功能数字计时器。

数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。

其中控制电路由清零电路,校分电路,和报时电路组成。

该数字计时器可以在控制电路的作用下具有开机清零、手动清零、快速校分和整点报时功能。

①.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;②.设计计时和显示电路,将分及秒的个位、十位分别在七段显示器上显示出来,从0分0秒开始,计到9分59秒,然后重新计数。

将分及秒的个位、十位分别在七段显示器上显示出来,七段显示器循环显示数字000~959;③.设计清零电路,实现手动及开机清零;④.设计校分电路,在校分开关控制下实现分校正;⑤.设计报时电路,使数字计时器实现在9分53秒、9分55秒、9分57秒低音(1KHz)报时,以及在9分59秒高音(2KHz)报时;2.设计电路原理图图2-1 电路原理图3.电路逻辑原理图及工作原理数字计时器的原理方框图如图3-1所示,该电路系统由脉冲发生电路、计时和显示电路、清零电路、校分电路和报时电路和其它附加电路等几部分组成的。

脉冲发生电路由振荡器和分频器组成,振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准的秒脉冲,同时也可得到其他不同频率的脉冲。

多功能数字计时器设计-张梦甜

多功能数字计时器设计-张梦甜

电工电子综合实验报告题目:多功能数字计时器设计姓名:张梦甜学号:1010200217班级:10102002院系:自动化专业:自动化指导:电子技术中心完成时间:2012年9月5日目录1. 电路功能设计要求介绍 (3)2. 电路原理简介 (3)3. 单元电路设计 (4)3.1 脉冲发生电路 (4)3.2 计时电路 (4)3.3 译码显示电路 (5)3.4 清零电路 (6)3.5 校分电路 (6)3.6 仿电台报时电路 (6)4. 总电路图 (8)5. 电路调试和改进意见 (8)6. 实验中遇到的问题、出现原因及解决方法 (9)7. 实验体会 (9)8. 附录 (9)8.1 元件清单 (9)8.2 芯片引脚图和功能表 (10)参考文献 (12)1. 电路功能设计要求介绍设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(校分隔秒)5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz);6)系统级联。

将以上电路进行级联完成计时器的所有功能。

7)可以增加数字计时器附加功能:定时、动态显示等。

2. 电路原理简介数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如图2。

图2 数字计时器原理框图3.单元电路设计3.1 脉冲发生电路脉冲发生电路为计时电路提供数据脉冲,本实验运用石英晶体构成振荡器电路,产生稳定的高频脉冲信号,晶振管固有振荡频率为32768HZ=215HZ。

电子电工综合实验_多功能数字钟

电子电工综合实验_多功能数字钟

目录Ⅰ - 设计要求Ⅱ - 各元件引脚布局图及逻辑功能Ⅲ - 各单元设计方法、过程、逻辑图Ⅳ - 整计时器电路图Ⅴ - 心得体会Ⅵ - 附录电工电子综合实验II——数字计时器的设计I、设计要求一、实验目的:1、掌握常见集成电路工作原理和使用方法。

2、学会单元电路设计与组合方法。

二、实验要求:实现00分00秒~59分59秒数字计时器。

三、实验内容:1、设计实现信号源电路(f1=1Hz,f2=2Hz,f3=500Hz,f4=1KHz)。

2、设计实现00分00秒~59分59秒数字计时器(计数、译码、显示)。

3、设计实现快速校分电路(K1,2Hz,校分时秒停止,含防抖动功能)。

4、设计实现可在任意时刻复位(K2)。

5、设计实现整点报时电路(59分53秒、59分55秒、59分57秒【三低~f3】,59分59秒【一高~f4】)。

6、整体完成00分00秒~59分59秒数字计时器电路。

四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 三片(4输入与门)74LS74 一片(D触发)2、电阻:1KΩ一只3KΩ一只330Ω四只3、电容:0.047uF 一只4、共阴极双字屏两块。

五、数字计时器逻辑框图:II、各元件引脚布局图及逻辑功能一、NE555 一片(多谐振荡):1、引脚布局图:图1 NE555引脚布局图2、内部结构图:图2 NE555内部结构图3、逻辑功能说明:NE555是在电子科技行业广为应用的一种集成电路,用途十分广泛。

在本电路中,构成时钟发生器,是整个电路的核心。

其引脚布局图如图1所示。

其中引脚1为接地端,引脚2和引脚6为输入端,引脚3为输出端,引脚4为复位清零端,引脚5为调整端(通常空置或通过一个电容接地),引脚7位放电端,引脚8为电源。

多功能数字计时器+++电子[1]

多功能数字计时器+++电子[1]
*为计时器提供秒脉冲
*为报时电路提供驱动蜂鸣器的脉冲信号
2.设计计时电路
*完成0分00秒——9分59秒的计时功能
3.设计报时电路
*使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音:即9分53秒、9分55秒、9分57秒发低(频率1KH),9分59秒发高音(频率2KH)
4.设计校分电路
按下开关后,电容被短路,第一个4069的输入端为低电平,两个4069的输出端分别为高电平和低电平,原理同上,实现控制清零功能(用的是异步清零)。
4.4.3所用器件:
CD4069、10KΩ电阻、22µF电容、开关
4.4.4电路原理图:
图4.4
4.5设计校分电路
4.5.1功能描述:
实现快速校分及秒个位到分位的进位功能。
开关闭合时,电容短路,与非门2的输入为“0”和LS161的QC端,输出为“1”。与非门1的输入为“1”和2Hz。则与非门3的输出为2Hz,2Hz信号被送到分位,从而实现快速校分功能。
4.5.3所用器件:
74LS00、CD4069、10KΩ电阻、22µF电容、开关
4.5.4电路原理图:
图4.5
五、电路安装与调试功能
CD4518的管脚10接收1Hz秒脉冲信号,CD4518开始计数,输出BCD码信号到CD4511,译码后接到LED数码显示管显示0-9。当CC4518计数计到9(1001)时转为0(0000),CD4518的管脚14由“1”转为“0”,形成下降边沿,经六反相器CC4069后变为上升边沿输入74LS161的管脚2,74LS161开始计数,实现了秒个位到秒十位进位的功能。当74LS161计数计到5(0101)时,74LS161的管脚12、14同时为“1”,经过与非门74LS00后输出“0”,接到74LS161的管脚9,则74LS161置数,将其管脚3、4、5、6接地,则管脚11、12、13、14输出“0”,74LS161从0开始重新计数。将74LS161四输出端11、12、13、14接到译码器CD4511译码后通过LED数码显示管显示0-5。秒十位到分位的进位由校分电路实现(见校分电路)。分位的译码、显示的实现同秒位。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字计时器设计实验报告学号:0904330122**:***目录一.实验内容简介 (3)二.实验要求 (3)三.实验原理 (4)四.单元电路设计及其电路图 (4)1.秒信号发生器 (4)2.计时电路 (5)3.清零电路 (7)4.校分电路 (7)5.报时电路 (8)五.附加功能:起停原理及电路图 (10)六.实验感想 (11)七.附录 (11)1.工具及器件清单 (11)2各元件的引脚图及功能表 (13)3总电路逻辑图 (15)(包含附加电路的总电路图附加在报告最后)八.参考文献 (15)一.实验内容:本实验采用中小规模集成电路设计一个由脉冲发生电路,计时电路,译码显示电路,和控制电路(包括清零电路,校分电路,和报时电路)等四部分组成的数字计时器。

二.设计要求:1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。

2. 设计一个计时电路,完成0分00秒~9分59秒的计时功能。

3. 设计报时电路,使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)4. 设计校分电路,在任何时候,拨动校分开关,可进行快速校分。

5.设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零。

6.系统级联调试,将以上电路进行级联完成计时器的所有功能。

7.可增加数字计时器附加功能,例如数字计时器定时功能、电路起停功能、电路采用动态显示等。

三.实验原理框图:四.单元电路设计及其电路图1.秒信号发生器秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。

为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐振荡器作为脉冲信号源。

分频器CD4060最高可实现214分频,即最低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现的倍频器来产生1Hz的秒脉冲信号。

将D触发器的Q端与D端扭接在一起实现倍频器,则Q端的输出信号即为1Hz的秒脉冲信号。

报时电路所需要的1KHz,2 KHz的脉冲信号由4060的管脚Q4和管脚Q5提供。

所用器件:32768Hz晶体管、22MΩ电阻、20PF电容、10 PF电容、4060、74LS74。

原理图:2.计时电路该电路是本实验基础电路中的关键电路,由分计数器、秒十位计数器、秒个位计数器构成。

分计数器和秒位计数器直接用CD4518BCD码计数器实现十进制计数功能;秒十位计数器为六进制计数器,将74LS161做成一个从0000~0101的模六计数器实现。

连接时,秒脉冲电路产生的秒脉冲信号送入秒个位计数器(CD4518A)的EN端,秒个位单元中的输出1Q4通过一非门接入74LS161的时钟端作为时钟信号完成个位与十位的级联(接非门是因为161的~CLK是上升沿触发,而1Q4在9~0的跳变时是下降沿“1001”——“0000”)。

做秒十位记数时,用反馈置位法,2Q1和2Q3通过一与非门接入置数端同时数据输入端均接地,实现模六功能。

将计数位2Q3作为驱动信号送入分计数器(CD4518B)的EN端,则数字计数器整体的计数功能即可实现。

显示电路采用三片CD4511显示译码器和三个七段共阴显示字,电路从0分00秒计到9分59秒,译码显示电路用三片四线七线译码器CD4511进行译码,而采用共阴极七段LED数码管进行循环显示。

CD4511的输入接到相应计数器的输出,而它的输出端与数码管的相应端相连,数码管通过300的电阻接地。

所用器件:4518、74LS161、74LS00、CC4511、CC4069、300Ω电阻、LED数码显示管。

原理图:3.清零电路该电路具有开机清零和控制清零功能。

其中秒个位和分位的清零端即CC4518的管脚7和15(高电平有效)接在第一个非门之后,秒十位74LS161的清零端即管脚1(低电平有效)接在第二个非门之后。

刚开机时,由于电容上的电压不能突变,电容两端为低电平,经过第一个非门输出高电平,接到CC4518的管脚7和15,实现秒个位和分位的清零。

在经过第二个非门输出低电平,接到74LS161的管脚1,实现秒十位的清零。

按下开关后,电容被短路,第一个非门的输入端为低电平,两个非门的输出端分别为高电平和低电平,原理同上,实现控制清零功能(异步清零)。

所用器件:CC4069、10KΩ电阻、22μF电容。

原理图:4.校分电路当校分电路打到“正常”状态时,计数器正常计数;当开关打到“校分”状态时,秒个位和秒十位正常计数,分位进行快速校分,即分计数器可以不受秒计数器的进位信号的控制。

校分电路的工作原理是:当校分开关在“1”电平,与非门2被选通,与非门1被封锁,秒进位产生的脉冲送至分计数器的时钟端;当开关打在“0”电平时,与非门1被选通,与非门2被封锁,校分信号送至分计数器的时钟端。

由于校分电路的信号直接送到分计数器的时钟端,开关的颤动产生的脉冲会导致分计数器的触发,从而影响校分功能,所以对校分开关应加一个RS锁存器构成消颤开关。

原理图:5.报时电路用需要报时的时刻所对应的计数器的输出作为触发信号来驱动蜂鸣器报时,因为需要在9分53秒、9分55秒、9分57秒各报出一个低音,在9分59秒报出一个高音。

具体设计过程如下:将各时刻各位对应的二进制码作如下图的比较:时间(DEC)分位(BIN)秒十位(BIN) 秒个位(BIN)9:53 1001 0101 00119:55 1001 0101 01019:57 1001 0101 01119:59 1001 0101 10011.将秒个位的3(0011)、5(0101)、7(0111)取或,通过卡诺图的化简可得应该从秒个位取1Q1(1Q2+1Q3)2.将1中所得结果和分位的9(1001)与再和秒十位的5(0101)与,所得的结果和1KHz的信号与就可得到在9分53秒、9分553秒、9分57秒报出低音的驱动信号。

3.将分位的9(1001)和秒十位的5(0101)与再和秒个位的9(1001)与再和2KHz的信号与就得到在9分59秒报出高音的驱动信号。

4.将2和3中得到的信号取或,就可以得到最终的报时驱动信号。

所用器件:74LS21、74LS32、蜂鸣器、三极管。

原理图:五.附加功能:起停原理及电路图自动起停就是在某一个设定时刻自动停止,在设定好的一定时间后,记时又自动重新开始,在此过程中无须人工干预。

此次自动起停功能具体设计为:在7分57秒自动停止5秒后又重新开始计数。

根据74161的功能表,当没到设定的停止时间时,~LOAD 一直是“0”信号输入,使得在置数输入端预置的0111置数至D Q C Q B Q A Q 端,由C Q 端与1Hz 求与后输入秒个位的CP 端,正常计数。

直至7分57秒,“1”信号输入~LOAD ,74161在1Hz 的脉冲信号下,0111,1000,1001,1010,1011计数,C Q 端输出“0”信号,1Hz 的信号无法进入秒个位的信号端,正好五秒的等待,C Q 端重新输出为“1”。

完成自动起停功能。

原理图:六.实验感想这次试验加深了我对课本知识的理解,这次实验还培养了我严谨的科学研究素养和坚韧的品质。

每当电路出错,这都是对我调试能力的一次考验。

这时候,如果消极泄气,是无法完成最后的成品的。

只有用自己的耐心和毅力,加以正确严谨的分析方法,才能一步步向成功迈进。

对于这种综合实验,它不同于课内实验,没有现成的东西照搬,综合实验从一个器件的引脚图到整个电路的逻辑图都要自己去准备,必要的预习是很重要的。

在连线的时候,最重要的是认真仔细,防止短路和漏接。

最重要的先检测一下器件是否是好的,这次试验中由于之前没有检测器件的好坏,导致线路连好后就是不能出现蜂鸣,最后还是检测出来有一个74LS21是坏的,这就告诉自己,实验前检测器件的好坏很重要。

虽然此次实验的原理比较简单的,但动手的关键在于过程,在实际解决了设计中出现的问题,增强了寻找问题,解决问题的能力。

该次实验使我深深的感受到书本上的理论知识和实际是有很大差别的,所以必须经过实践才能真正锻炼解决问题的能力.对于我们理工科的学生,动手能力是很重要的,特别是实验操作能力,尤为重要,所以这次实验是对我们能力的一次小的检验。

七.附录1工具及器件清单工具:剪刀、镊子、剥线钳、万用表元器件清单:2各元件的引脚图及功能表引脚图:功能表:输入输出LE D C B A g f e d c B a 字符LT BI测灯0××××××11111118灭零10×00000000000消隐锁存111××××显示LE=0→1时数据110000001111110110000100001101110001010110112110001110011113CC4518逻辑功能表74LS74逻辑功能表3总电路逻辑图附加在最后。

八.参考文献a)《数字电路》蒋立平著南京理工大学2001b)《电子线路实践教程》王建新、姜萍科学出版社2003c)《实验电子技术》李振声主编国防工出版社2001(此文档部分内容来源于网络,如有侵权请告知删除,文档可自行编辑修改内容,供参考,感谢您的配合和支持)。

相关文档
最新文档