多功能数字钟1

合集下载

多功能六位电子钟说明书

多功能六位电子钟说明书

多功能六位电子钟说明书一、原理说明:1、显示原理:显示部分主要器件为3只两位一体共阳极数码管,驱动采用 PNP 型三极管驱动,各端口配有限流电阻,驱动方式为动态扫描,占用 P3.0~P3.5 端口,段码由P1.0~P1.6输出。

冒号部分采用 4 个Φ3.0的红色发光二极管,驱动方式为独立端口P1.7驱动。

2、键盘原理:按键 S1~S3 采用复用的方式与显示部分的 P3.5、P3.4、P3.2 口复用。

其工作方式为,在相应端口输出高电平时读取按键的状态并由单片机消除抖动并赋予相应的键值。

3、迅响电路及输入、输出电路原理:迅响电路由有源蜂鸣器和 PNP 型三极管组成。

其工作原理是当 PNP 型三极管导通后有源蜂鸣器立即发出定频声响。

驱动方式为独立端口驱动,占用P3.7端口。

输出电路是与迅响电路复合作用的,其电路结构为有源蜂鸣器,5.1K定值电阻R6,排针J3并联。

当有源蜂鸣器无迅响时J3输出低电平,当有源蜂鸣器发出声响时J3输出高电平,J3可接入数字电路等各种需要。

驱动方式为迅响复合输出,不占端口。

输入电路是与迅响电路复合作用的,其电路结构是在迅响电路的 PNP 型三极管的基极电路中接入排针J2。

引脚排针可改变单片机I/O口的电平状态,从而达到输入的目的。

驱动方式为复合端口驱动,占用P3.7端口。

4、单片机系统:本产品采用了单片机AT89C2051为核心器件,并配合所有的外围电路,具有上电复位的功能,无手动复位功能。

二、使用说明:1、功能按键说明:S1为功能选择按键,S2为功能扩展按键,S3为数值加一按键。

2、功能及操作说明:操作时,连续短时间(小于1秒)按动S1,即可在以上的6个功能中连续循环。

中途如果长按(大于2秒)S1,则立即回到时钟功能的状态。

1)时钟功能:上电后即显示10:10:00 ,寓意十全十美。

2)校时功能:短按一次 S1,即当前时间和冒号为闪烁状态,按动 S2 则小时位加 1,按动 S3则分钟位加1,秒时不可调。

多功能数字钟

多功能数字钟

电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。

2、要求数字钟具有清零、调节小时、分钟功能。

3、具有整点报时,整点报时的同时LED灯花样显示。

二、总体框图多功能数字钟总体框图如下图所示。

它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。

系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。

四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。

(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。

VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计1设计内容简介数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。

脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。

2设计任务与要求Ⅰ以十进制数字形式显示时、分、秒的时间。

Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。

Ⅲ能实现手动快速校时、校分;Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。

Ⅴ具有定制控制(定小时)的闹钟功能。

Ⅵ画出完整的电路原理图3主要集成电路器件计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等4设计方案数字电子钟的原理方框图如图(1)所示。

该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。

秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。

将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。

校时电路是用“时”、“分”、“秒”显示数5电路设计5.1秒信号发生器秒信号发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体整荡器产生的脉冲经过整形、分频获得1 Hz的秒脉冲。

多功能数字钟电路设计课程设计论文

多功能数字钟电路设计课程设计论文

电子技术课程设计报告——多功能数字钟电路设计目录一、任务及要求.......................................................... - 1 -(一)设计要求...................................................... - 1 - (二)设计指标...................................................... - 1 -二、数字钟的构成........................................................ - 1 -三、单元电路的设计...................................................... - 2 -(一)秒脉冲产生电路................................................ - 2 - (二)计数器电路.................................................... - 5 - (三)译码显示电路.................................................. - 7 - (四)校时、校分电路............................................... - 10 - (五)整点报时电路................................................. - 11 - (六)闹钟电路..................................................... - 11 -四、元器件清单......................................................... - 12 -五、总电路图........................................................... - 13 -六、电路仿真........................................................... - 14 -(一)开始状态..................................................... - 14 - (二)校时、校分功能............................................... - 14 - (三)满六十秒向分钟进位状态....................................... - 15 - (四)满六十分向小时进位........................................... - 15 - 七、个人小结........................................................... - 16 -一、任务及要求(一)设计要求(1)利用中规模数字集成器件设计、实现所需电路。

多功能数字钟的设计和制作

多功能数字钟的设计和制作

目录摘要 (1)1数字钟的结构设计及方案选择 (2)1.1振荡器的选择 (2)1.2计数单元的构成及选择 (3)1.3译码显示单元的构成选择 (3)1.4校时单元电路设计及选择 (4)2 数字钟单元电路的设计 (4)2.1振荡器电路设计 (4)2.2时间计数单元设计 (4)2.2.1集成异步计数器74LS390 (5)2.2.2 用74LS390构成秒和分计数器电路 (5)2.2.3用74LS390构成时计数器电路 (6)2.2.4 时间计数单元总电路 (7)2.3译码显示单元电路设计 (7)2.4 校时单元电路设计 (7)2.5整点报时单元电路设计 (1)3 数字钟的实现电路及其工作原理 (9)4电路的搭建与调试 (10)5结束语 (10)参考文献 (11)附录1: (12)摘要数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。

诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意。

数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。

关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48数字电子时钟的设计及制作1数字钟的结构设计及方案选择数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

多功能数字电子钟_VHDL

多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then

多功能数字钟文献综述

多功能数字钟文献综述

文献综述(周波电子信息工程 04021026528)1.多功能数字钟的工作原理随着生活水平的提高,人们越来越追求人性化的事物,传统的时钟已不能满足人们的需求.现代的数字钟不仅需要数字电路技术而且需要模拟电路技术和单片机技术,增加了数字钟的功能.其电路可以由实时时钟模块、环境温度检测模块、人机接口模块、报警模块等部分组成。

利用软件编程尽量做到硬件电路简单稳定,减小电磁干扰和其他环境干扰,充分发挥软件编程的优点,减小因元器件精度不够引起的误差,但是数字钟还是可以改进和提高如选用更精密的元器件。

但与机械式时钟相比已经具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是采用数字电路实现对月,日,时,分,秒。

数字显示的计时装置,工作时,振荡器产生频率稳定的高频脉冲信号,作为数字钟的时间基准,再经过分频器分频,得到标准秒脉冲.秒脉冲送入计数器进行计数,秒计数器满60后向分计数器进位,分计数器计满60后向小时进位,而小时计数器按照“24翻1”规律计天数,日计数器可按照“30翻1”规律计月,月则为12进制.计数器的输出分别经译码器送显示器显示。

计时出现误差可用校时电路予以校准,而当计时达到整点时系统会发出四低一高的鸣叫,最后一声恰为整点。

广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义2.钟表的发展历史人类究竟从何时开始,有了“时间”的概念?人类的远祖最早从天明天暗知道时间的流逝。

大约六千年前,“时钟”第一次登上人类历史的舞台:日晷在巴比伦王国诞生了。

多功能数字钟设计实验报告XilinxEDABasys2华中科技大学HUST

多功能数字钟设计实验报告XilinxEDABasys2华中科技大学HUST

多功能数字钟设计实验报告院系:电子与通信工程学院:郭世康班级:1301学号:U202113639指导教师:唐祖平一、实验目标掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程熟悉EDA软件使用掌握Verilog HDL设计方法分模块、分层次数字系统设计二、实验容要求根本功能能显示小时、分钟、秒钟〔时、分用显示器,秒用LED〕能调整小时、分钟的时间提高要求任意闹钟;〔1分〕小时为12/24进制可切换〔1分〕报正点数〔几点钟LED闪烁几下〕〔1分〕三、实验条件Xilinx工程环境,win7操作系统,BASYS2实验板。

四、实验设计1.设计分析数字钟大体上由2个60进制计数器,1个24进制计数器构成,中间有数据选择器进展连接。

为实现提高功能,还需12进制计数和整点判断模块。

下列图为数字钟层次构造图。

2. 实验原理振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按24或12进制规律计数。

计数器的输送译码显示电路,即可显示出数码〔即时间〕。

计时出现误差时可以用校时电路进展校时和校分。

小时显示〔12\24〕切换电路、仿电台报时、定时闹钟为扩展电路,只有在计时主体电路正常运行的情况下才能进展功能扩展。

本实验采用Verilog HDL进展描述,然后用FPGA/CPLD实现,使用部50MHz 晶振作为时钟电路。

3. 逻辑设计实现上述功能的Verilog HDL 程序如下。

实现根本功能的程序分为两层次四个模块,底层有3个模块构成,即6进制计数器模块,10进制计数器模块和24进制计数器模块,顶层有一个模块,他调用底层的3个模块完成数字中的计时功能。

moduletimeclock(Hour,Minute,Second,CP,nCR,EN,Adj_Min,Adj_Hour,number,Light,clk,temp,c hange,AMTM,dingdong);output [7:0] Hour,Minute,Second;output [3:0] Light,temp;output [6:0] number;output clk,AMTM,dingdong;//clk为分频之后的时钟信号,频率为1Hz,AMTM为24进制转换12进制时说明上下午的变量,dingdong为整点报时时的闪烁信号。

多功能数字钟

多功能数字钟

多功能数字钟多功能数字钟是一种具有多种功能的现代化钟表。

它不仅能够显示准确的时间,还可以实现其他实用的功能,使人们的生活更加方便和舒适。

下面将介绍它的几个主要功能。

首先,多功能数字钟能够准确显示时间。

它采用数字显示屏,清晰明了,无论白天还是晚上,都能够清楚地读取时间。

显示屏上通常有四个或六个数字,分别代表小时和分钟,而且还有一个显示秒数的功能。

这样,我们可以通过一眼就知道现在的具体时间,无需再查看手机或手表。

其次,多功能数字钟可以设置多个闹钟。

人们可以根据自己的需要,设置不同的闹钟,以满足不同的时间要求。

比如,可以设置一个早上的闹钟来叫醒自己,还可以设置一个晚上的闹钟来提醒自己休息。

这样,我们不仅能够准时起床,还能够提高工作和生活的效率。

此外,多功能数字钟还可以用作倒计时器。

我们可以根据自己的需要,设置倒计时的时间,比如煮饭、做家务或者做运动。

当时间到达设定值时,多功能数字钟会发出警报声提醒我们。

这样,我们就不用担心忘记时间,可以更好地掌控自己的时间安排。

多功能数字钟还具有温度和湿度显示功能。

它可以显示当前的室内温度和湿度,帮助我们了解室内的环境条件。

通过这个功能,我们可以根据温湿度的变化,进行合理的调控,提高室内的舒适度。

最后,多功能数字钟还可以充当夜灯。

在夜晚,我们可以通过多功能数字钟的设置,将其调整为柔和的灯光状态,提供足够的照明。

这样,我们不用开启强光的灯具,仍然可以看清楚周围的环境,不会打扰到他人的休息。

综上所述,多功能数字钟拥有准确显示时间、设置多个闹钟、使用倒计时器、显示温湿度以及充当夜灯等多种实用功能。

它是一种方便、实用并且综合性很强的钟表,能够满足人们的不同需求,提高生活的便利性和舒适度。

多功能数字钟课程设计

多功能数字钟课程设计

多功能数字钟设计[摘要]多功能数字钟由数字钟电路、定时电路、报时电路、电源电路组成。

为了简化电路结构,便于实现,数字钟电路与定时电路之间的连接采用直接译码技术。

用中规模集成电路设计的数字钟具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。

[关键词]555定时器;报时电路;译码;校时Design of multi-functional digital clockAbstract:Applicable for automatic digital clock rung, automatic broadcasting, also suitable for electricity, water and automatic control and electrical equipment. It is by several children clock circuit, timing circuit, the power circuit implementation. In order to simplify the circuit structure, a digital clock circuit and timing circuits using direct connection between decoding technology. With simple structure, reliable operation, long service life, change the setting time for easy and manufacturing cost etc.Key words:555timer;time-reported circuit;decode;timing目录引言 (1)1 多功能数字钟工作原理 (1)1.1 系统框图 (1)1.2 工作原理 (1)2 芯片简介 (2)2.1 555定时器 (2)2.2 十进制加法计数器74LS160 (2)3 电路设计 (2)3.1 1Hz秒时钟信号发生器 (2)3.2 时间计数及显示电路 (3)3.2.1译码显示电路 (3)3.2.2 分、秒计数及显示 (4)3.2.3 时计数及显示 (5)3.3 校时电路 (5)3.4 整点报时电路 (6)3.5 整体电路图 (6)4 仿真结果及分析 (7)4.1时钟结果仿真 (7)4.2 1Hz脉冲产生电路 (8)4.3 60进制计数器计数仿真结果 (8)4.4 24进制计数器计数仿真结果 (8)4.5 脉冲输出电压观察 (9)4.6 开关校时电路仿真结果 (10)4.7 测试结果分析 (10)5 安装与调试 (10)6 结束语和展望 (11)致谢 (11)参考文献 (11)附录A (12)引言数字钟是采用数字电路实现对时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头,公室等公共场所,成为人们日常生活中不可缺少的必需品。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。

可以使用七段显示器来显示数字。

2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。

3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。

4.日历功能:设计一个日历功能,可以显示当前的日期和星期。

5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。

6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。

7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。

8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。

这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。

用verilog-HDL多功能数字钟

用verilog-HDL多功能数字钟

用verilog-HDL多功能数字钟Verilog HDL实验报告基于Verilog HDL语言的多功能数字钟设计一、试验目的设计一个有如下功能的数字钟:(1)计时功能:包括时、分、秒。

(2)定时与闹钟功能:能在所设定的时间发出铃音。

(3)校时功能:对小时、分钟和秒钟进行手动校时。

(4)整点报时功能:每到整点能够发出“嘀嘀嘀嘀嘟”四短一长的报时。

二、试验原理ALERT HOUR[7..0]MIN[7..0]SEC[7..0]LD_ALERT LD_HOUR LD_MINCLK CLK_1K MODE TURN CHANGEclockCLK CLK_1K MODE TURN CHANGEALERTHOUR[7..0]MIN[7..0]SEC[7..0]LD_ALERT LD_HOUR LD_MIN多功能数字钟端口示意图数字钟设有五个输入端,分别为时钟输入(CLK )、模式(MODE )、产生声音的时钟信号(CLK_1K )、切换(TURN )和调时(CHANGE )键。

输出共七个,其中HOUR[7..0]、MIN[7..0]和SEC[7..0]采用BCD 计数方式,分别驱动2个数码管。

硬件电路原理图如下:三、试验内容1. 代码/*信号定义:clk: 标准时钟信号,其频率为4Hz;clk_1k:产生闹铃声、报时音的时钟信号,其频率为1024Hz;mode:功能控制信号;为0:计时功能;为1:闹钟功能;为2:手动校时功能;turn:接按键,在手动校时功能时,选择是调整小时还是分钟;若长时间按住改建,还可使秒信号清零,用于精确调时;change: 接按键,手动调整时,每按一次,计数器加1;如果长按,则连续快速加1,用于快速调时和定时;hour,min,sec:此三信号分别输出并显示时、分、秒信号,皆采用BCD码计数,分别驱动6个数码管显示时间;alert:输出到扬声器的信号,用于产生闹铃音和报时音;闹铃音为持续20秒的急促的“嘀嘀嘀”音,若按住“change”键,则可屏蔽该音;整点报时音为“嘀嘀嘀嘀嘟”四短一长音;LD_alert:接发光二极管,指示是否设置了闹钟功能;LD_hour:接发光二极管,指示当前调整的是小时信号;LD_min:接发光二极管,指示当前调整的是分钟信号*/moduleclock(clk,clk_1k,mode,change,turn,alert,hour,min,sec,LD_alert,LD_hour,LD_mi n);input clk,clk_1k,mode,change,turn;output alert,LD_alert,LD_hour,LD_min;output[7:0] hour,min,sec;reg[7:0] hour,min,sec,hour1,min1,sec1,ahour,amin;reg[1:0] m,fm,num1,num2,num3,num4;reg[1:0] loop1,loop2,loop3,loop4,sound;reg LD_hour,LD_min;reg clk_1Hz,clk_2Hz,minclk,hclk;reg alert1,alert2,ear;reg count1,count2,counta,countb;wire ct1,ct2,cta,ctb,m_clk,h_clk;always @(posedge clk)beginclk_2Hz<=~clk_2Hz;if(sound==3) begin sound<=0; ear<=1; end //ear信号用于产生或屏蔽声音else begin sound<=sound+1; ear<=0; endendalways @(posedge clk_2Hz) //由4Hz的输入时钟产生1Hz的时基信号clk_1Hz<=~clk_1Hz;always @(posedge mode) //mode信号控制系统在三种功能间转换begin if(m==2) m<=0; else m<=m+1; endalways @(posedge turn)fm<=~fm;always //产生count1,count2,counta,countb四个信号begincase(m)2:begin if(fm)begin count1<=change; {LD_min,LD_hour}<=2; endelsebegin counta<=change; {LD_min,LD_hour}<=1; end{count2,countb}<=0;end1:begin if(fm)begin count2<=change; {LD_min,LD_hour}<=2; endelsebegin countb<=change; {LD_min,LD_hour}<=1; end{count1,counta}<=2'b00;enddefault:{count1,count2,counta,countb,LD_min,LD_hour}<=0;endcaseendalways @(negedge clk) //如果长时间按下“change”键,则生成“num1”信号用于连续快速加1if(count2) beginif(loop2==3) num2<=1;elsebegin loop2<=loop2+1; num2<=0;endendelse begin loop2<=0; num2<=0; endalways @(negedge clk) //产生num2信号if(count1) beginif(loop3==3) num3<=1;elsebegin loop3<=loop3+1; num3<=0; endendelse begin loop3<=0; num3<=0; endalways @(negedge clk)if(counta) beginif(loop4==3) num4<=1;elsebegin loop4<=loop4+1; num4<=0; endendelse begin loop4<=0; num4<=0; endassign ct1=(num3&clk)|(!num3&m_clk); //ct1用于计时、校时中的分钟计数assign ct2=(num1&clk)|(!num1&count2); //ct2用于在定时状态下调整分钟信号assign cta=(num4&clk)|(!num4&h_clk); //cta用于计时、校时中的小时计数assign ctb=(num2&clk)|(!num2&countb); //ctb用于在定时状态下调整小时信号always @(posedge clk_1Hz) //秒计时和秒调整进程if(!(sec1^8'h59)|turn&(!m))beginsec1<=0;if(!(turn&(!m))) minclk<=1;end//按住“turn”按键一段时间,秒信号可清零,该功能用于手动精确调时else beginif(sec1[3:0]==4'b1001)begin sec1[3:0]<=4'b0000; sec1[7:4]<=sec1[7:4]+1; endelse sec1[3:0]<=sec1[3:0]+1; minclk<=0;endassign m_clk=minclk||count1;always @(posedge ct1) //分计时和分调整进程beginif(min1==8'h59) begin min1<=0; hclk<=1; endelse beginif(min1[3:0]==9)begin min1[3:0]<=0; min1[7:4]<=min1[7:4]+1; endelse min1[3:0]<=min1[3:0]+1; hclk<=0;endendassign h_clk=hclk||counta;always @(posedge cta) //小时计时和小时调整进程if(hour1==8'h23) hour1<=0;else if(hour1[3:0]==9)begin hour1[7:0]<=hour1[7:4]+1; hour1[3:0]<=0; endelse hour1[3:0]<=hour1[3:0]+1;always @(posedge ct2) //闹钟定时功能中的分钟调节进程if(amin==8'h59) amin<=0;else if(amin[3:0]==9)begin amin[3:0]<=0; amin[7:4]<=amin[7:4]+1; endelse amin[3:0]<=amin[3:0]+1;always @(posedge ctb) //闹钟定时功能中的小时调节进程if(ahour==8'h23) ahour<=0;else if(ahour[3:0]==9)begin ahour[3:0]<=0; ahour[7:4]<=ahour[7:4]+1; endelse ahour[3:0]<=ahour[3:0]+1;always //闹铃功能if((min1==amin)&&(hour1==ahour)&&(amin|ahour)&&(!change))//若按住“change”键不放,可屏蔽闹铃音if(sec1<8'h20) alert1<=1; //控制闹铃的时间长短else alert1<=0;else alert1<=0;always //时、分、秒的现实控制case(m)3'b00: begin hour<=hour1; min<=min1; sec<=sec1; end//计时状态下的时、分、秒显示3'b01: begin hour<=ahour; min<=amin; sec<=8'hzz; end//定时状态下的时、分、秒显示3'b10: begin hour<=hour1; min<=min1; sec<=8'hzz; end//校时状态下的时、分、秒显示endcaseassign LD_alert=(ahour|amin)?1:0; //指示是否进行了闹铃定时assign alert=((alert1)?clk_1k&clk:0)|alert2; //产生闹铃音或整点报时音always //产生整点报时信号alert2beginif((min1==8'h59)&&(sec1>8'h54)||(!(min1|sec1)))if(sec1>8'h54) alert2<=ear&clk_1k; //产生短音else alert2<=!ear&clk_1k; //产生长音else alert2<=0;endendmodule2. 仿真图四、小结及体会为了做多功能数字钟,我借了多本关于Verilog HDL的程序设计书。

电子综合设计多功能数字钟报告(附完整程序代码)

电子综合设计多功能数字钟报告(附完整程序代码)

[电子系统设计]总结报告题目:多功能数字钟专业:电子信息科学与技术班级:2010级2班姓名:XXX指导教师:评分:2012年11月19日目录1项目计划 (1)1.1方案可行性 (1)1.1.1市场可行性分析 (1)1.1.2技术分析 (1)1.1.3法律分析 (1)1.2项目执行计划 (2)1.2.1工程进度图 (2)1.2.2工程进度表 (2)1.2.3工程预算 (2)2设计说明 (3)2.1基本设计思想 (3)2.2设计原理 (4)2.3系统结构框图 (4)2.4原理图 (5)2.5各单元模块设计原理 (5)2.5.1单片机最小系统 (5)2.5.2数码显示模块 (6)2.5.3闹铃模块 (7)2.5.4接口和外部控制模块 (8)2.5.5时钟模块 (8)2.6各单元模块设计流程及部分程序代码 (9)2.6.1各单元模块设计流程图 (9)2.6.2部分程序代码 (11)2.7时钟的操作流程 (11)3调试说明 (12)3.1生产工艺 (12)3.2调试准备工作 (12)3.3调试方法及步骤 (12)3.4调试数据 (13)3.4.1未安装芯片时的点参数 (13)3.4.2安装芯片后的点参数 (13)3.5调试结果 (14)3.6注意事项 (14)3.7可能遇到的问题及解决方案 (14)4总结 (15)5附录 (15)1项目计划1.1方案可行性1.1.1市场可行性分析多功能数字钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用,随着多功能数字钟在公共场合的应用和多功能数字钟数量的增多,多功能数字钟的功能和准确度更被人们所重视。

本多功能数字钟属于多功能数字钟,具有功能多,成本低的特点,制作一个多功能数字钟所需材料成本约为17元,人工成本约6元,而一个多功能数字钟市场价约为60,每个多功能数字钟可净收入约37元,现在市场上数字钟的需求量大,生产商寥寥无几。

1-1数字钟的系统框图2、设计提示

1-1数字钟的系统框图2、设计提示

教材:《VHDL硬件描述语言与数字逻辑电路设计》候伯亨 顾新西安电子科技大学参考书:《EDA与数字系统设计》李国丽等机械工业出版社一、多功能数字钟的设计1、数字系统设计问题设计一个能进行时、分、秒计时的12h制或24h制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对时、分和秒进行手动调节,以校准时间,每逢整点.产生报时音报时。

其系统框图如图1-1所示。

1-1数字钟的系统框图2、设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部分,其中计数器部分的设计是已经非常熟悉的问题,只要掌握六十进制、十二进制的计数规律,用同步计数或异步计数都可以实现,扫描显示模块也已经介绍过,所以主控电路中各种特殊功能的实现是这个设计问题的关键。

用两个电平信号A、B进行模式选择,其中,AB=00为模式0,系统为计时状态;AB =01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。

设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分部分;当turn=1 时,表示在手动校对时,选择调整时部分。

设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。

设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。

设置一个关闭闹钟信号reset1,当reset1=0时,关闭闹铃信号:reset1=1可对闹铃进行设置。

设置状态显示信号(发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是时信号;LD_m指示当前调整的是分信号。

当闹铃功能设置后(LD_atert=1,系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。

整点报时由分和秒计时同时为0〔或60)启动,与闹铃声共用一个扬声器驱动信号out。

系统计时时钟为clk=1Hz,选择另—个时钟clk_1k=1024Hz作为产生闹铃声、报时音的时钟信号。

多功能语音报时数字钟的设计 (4)

多功能语音报时数字钟的设计 (4)

多功能语音报时数字钟的设计摘要:自进入21世纪以来,电子产业飞速发展,各种新兴的电子产品布满了电子专柜,电子产品几乎走进了家家户户,走进了社会的各个行业,有力的推动了社会生产力的发展和电子信息化、电子智能化的提高。

数字钟也在发生着各种各样的变化,由体积较大、功能少、运行不精确的机械钟变为在液晶显示屏上显示数字的数字钟,再到多种功能于一钟的语音报时数字钟等等。

本设计是实现一款可正常显示当前时间,测量当前温度,带有语音报时的多功能数字钟。

本设计是采用AT89C51单片机控制的多功能语音报时数钟,可以显示年、月、日、时、分、秒、星期、温度。

具有年、月、日、时、分校准功能,自动提取温度并显示功能,语音报时功能,调整时间按下相应键会发出嘟嘟提示声功能。

采用DS1302时钟芯片进行时钟控制,DS18B20传感器实现温度采集功能,LCD12864作为液晶显示模块,ISD1420语音芯片实现语音播报时间功能。

钟表的多功能化给我们生活带来了很大的改善,比如定时报警、备忘提醒、电气设备的自动断电、自动开启关闭等功能。

数字时钟对世界有着重要的影响,对工业、电子业、商业有着重要的推进作用,使用简单方便,成本低,显示直观,在广阔的市场具有非常很好的前景市场。

数字时钟之所以这么受广大的欢迎,它使用简单直观,数字时钟在显示方面能清楚的在LED显示屏上显示出年、月、日、周日、时、分、秒,还有调准时间的准确度的功能,并且能够显示当日的温度情况。

但目前市场上的数字时钟还比较机械性和传统性,在实际运用过程中不够灵活。

而随着社会的不断发展,人们对数字时钟的控制要求越来越高,数字时钟应用到很多方面,在报警和闹铃的方面都应用到数字时钟准确的计时功能,还有定时器,微波炉,自动通电器,数字时钟可以成为自己生活中的小助手,可以轻松掌控时间,又能了解天气及温度的变化。

语音报时多功能数字时钟改善了人们的生活质量,为人们的生活、工作带来极大的便利。

关键词:数字钟单片机温度液晶显示语音报时一、绪论时间对于我们每个人都很重要,包括老人和小孩,通过眼睛看时间,当然可以看到当前时间,但是对于视力不好老人和不认识钟表的小孩来说,语音报时数字钟就给他们带来了很大的帮助,只需要按下相应键就可以自动播报获得时间。

多功能数字钟的设计及制作

多功能数字钟的设计及制作

多功能数字钟的设计及制作1.设计分析本次设计的数字钟具有校时功能。

我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。

一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1图1-1 总体方框图2.设计内容2.1秒脉冲产生部分本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。

虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。

只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。

555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。

555定时器逻辑符号如图2-1所示:图2-1 555定时器逻辑符号管脚功能如表2-1所示:图2-2 秒脉冲电路根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。

充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)t1=(R1+R2)C*㏑2=0.7(R1+R2)C放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)t2=R2*C㏑2=0.7R2*C脉冲周期为: t=t1+t2=0.7(R1+2R2)C脉冲频率为: f=1/t=1.43/(R1+2R2)C令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)代入数据,计算得,f=0.94HZ≈1HZ基本满足实验要求。

2.2计数部分计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。

它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。

单片机课程设计 多功能数字钟的设计

单片机课程设计 多功能数字钟的设计

摘要电子钟在日常生活中最常见,应用也最广泛。

作为一种定时工具被广泛的使用在生产生活的各方面。

人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。

而电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。

由于电子钟的能提供精确定时又被广泛的运用在测量之中。

此电子钟采用单片机进行设计,8 段数码通过单片机进行刷新显示。

其设计的产品除了单片机之外没有用到其他集成块,使其成本可以大大降低,而其便于维修。

成品可以被广泛的用于公共场所,匾额装饰,以及教案等方面。

本文主要就是设计一款数字钟, AT89C51 单片机为核心,以配备 LED 显示模块、键盘输入模块、等功能模块。

数字钟采用 24 小时制方式显示时间,定时信息以及年月日显示等功能。

文章的核心主要从硬件设计和软件编程两个大的方面。

1目录摘要...... 1 1 设计要求及方案确定...... 3 1.1 设计要求...... 3 1.2 方案确定...... 3 2 硬件电路设计及描述...... 3 2.1 确定元器件的型号及参数...... 3 2.1.1 单片机的选择...... 3 2.1.2 AT89C51 单片机的介绍...... 5 2.1.3 LED 数码管显示模块...... 7 2.1.4 键盘输入模块 (8)2.1.5 闹铃模块...... 8 2.1.6 电源电路...... 8 2.1.7 蜂鸣器的介绍...... 9 2.2 硬件电路图 (10)3 软件设计...... 10 3.1 程序结构设计...... 10 3.1.1 程序结构...... 10 3.1.2 主要程序模块清单...... 11 3.2 程序...... 14 4 参考文献...... 17 结束语 (18)211.1 设计要求设计要求及方案确定利用单片机设计制作具有下列功能的数字钟:①自动计时,由 6 位 LED 显示器显示时、分和秒②具备调整功能,可以直接由 0~9 数字键设置当前时间;③具备定时闹钟功能。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。

下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。

1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。

该译码器接收来自实时时钟(RTC)模块的BCD编码输出。

RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。

BCD 编码输出通过CD4543译码器转换为七段LED显示。

2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。

RTC模块可以提供年份、月份和日期的BCD编码输出。

这些编码输出通过CD4543译码器转换为七段LED显示。

3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。

我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。

然后,我们可以使用一个比较器来比较当前时间和闹钟时间。

如果它们匹配,闹钟就会响起。

4. 定时器功能
定时器功能可以通过555定时器来实现。

我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。

当定时器完成计时时,它可以触发一个报警器或执行其他操作。

总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。

这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

多功能数字钟的设计研究
摘要:多功能数字钟的出现为人们提供了极大的便利,通过对数字钟的设计,了解数字钟工作原理,掌握数字电路知识。

对数字钟的设计中,时钟源采用多谐振荡器及分频电路产生,计时电路由74160
LS组成的多种进制计数
LS和74161
器构成,然后通过模式控制电路,经译码电路译码,由6只共阴数码管进行显示。

从而实现了数字钟的时间显示、日期显示、秒表计时、时间日期校正及闹钟等多种功能。

应用Proteus的ISIS软件实现了对多功能数字钟系统的设计与仿真,该方法仿真效果真实、准确,节省了硬件资源。

关键词:数字钟、计数器、数字电路
1 系统设计任务
设计一个多功能数字钟满足一下要求:
(1)时间显示功能;
(2)秒表功能,秒表能够暂停和清零,显示范围为10分钟;
(3)显示日期功能,并且可以显示28天、30天、31天三种情况;
(4)时间和日期校正功能;
(5)实现闹钟功能并且可以手动开关闹钟,在不关闭闹钟情况下,当到达定时时间时,闹铃鸣叫1分钟。

2 系统方案比较与选择
时钟频率的产生是本数字钟设计的核心,频率的产生将决定数字钟的精确与稳定,设计时钟频率源有以下两种方案:
方案一:选择晶体振荡器,如使用32768Hz石英晶体作为振荡源,通过分频器来提供所需多种频率。

此方案产生频率稳定性较好,但由于市场上石英晶体频率比较固定,在进行分频时不能很好满足系统所需频率。

因此设计起来比较复杂。

方案二:选择555定时器组成多谐振荡器产生。

555定时器组成的多谐振荡器设计起来比较方便,并且能很好产生系统所需频率,然后通过多种进制分频器进行分频,为了更好地掌握数字电路知识,故选择此方案。

3 电路设计与计算
方案总体设计
数字钟的总体设计如图1所示,数字钟系统由基本频率源(振荡器)、分频器、计时电路、译码器、数字显示器、校准电路、模式控制电路、秒表电路等组成。

图1 数字钟总体设计图
单元模块设计
3.2.1多谐振荡器原理及电路图
由555定时器组成的多谐振荡器如图2(a)所示,其中R 1、R 2和 由图2(b )可知,振荡周期12T T T =+。

1T 为电容充电时间,2T 为电容放电时间。

充电时间 11212()ln 20.7()T R R C R R C =+≈+ 放电时间 222ln 20.7T R C R C =≈
矩形波的振荡周期121212ln 2(2)0.7(2)T T T R R C R R C =+=+≈+ 因此改变1R 、2R 和电容C 的值,便可改变矩形波的周期和频率。

对于矩形波,除了用幅度,周期来衡量外,还有一个参数:占空比q ,q=(脉宽w t )/(周期T ),w t 指输出一个周期内高电平所占的时间。

图2(a )所示电路
输出矩形波的占空比1112
1212
2T T R R q T T T R R +=
==++。

数字钟系统所需最高频率为100Hz ,故多谐振荡器产生的频率应为100Hz ,经过计算,多谐振荡器外接元件值为:
12122.90, 6.86,0.80.01R k R k C F C F μμ====,
电路原理图如图3
图3 100Hz 频率产生电路原理图
3.2.2分频器原理
数字钟工作需要的频率有10015Hz Hz Hz 、
、2.共三种频率,其中100Hz 脉冲用来作秒表计时器脉冲,1Hz 频率用来作时间计数器的秒脉冲,Hz 脉冲有
两个作用,一是用来驱动蜂鸣器工作,二是在校时和对闹钟定时时使数码管进行闪烁。

这三种频率的产生就是通过100进制计数器和40进制计数器对多谐振荡器产生的100Hz 频率分频得到的。

电路仿真原理图如图4和图5。

1
图4 百分频电路
图5 四十分频电路
3.2.3计时电路的设计
计时电路是产生数码管显示信息的核心,其包括时间计时电路、日期计时电路、秒表计时电路、闹钟计时电路等四大部分。

四种计时电路基本原理相似,此处只说明时间计时电路的工作原理及原理图,其他部分原理图见附录一。

时间计时电路有秒、分钟、小时三部分组成,此部分电路采用1Hz 脉冲同步时序电路控制方法,同步时序电路可以避免由于仪器工作过程而产生的时序不同步而导致数字钟不精确的缺陷。

时间计时电路输入端全部为零。

秒计时电路为60进制计时器工作电路,采用74160LS 和74161LS 进行制作,当秒显示59时,产生一个进位脉冲,用来控制秒计时器的置数端和分各位计时电路的使能端,则当下一个脉冲触发计时器时,秒计时器被置数为零,则分计数器计数一次,分计数器个位使能端接秒部分的进位脉冲,十位部分计数器使能端接个位的进位端,两部分的置数端接分钟为59时,分钟个位进位产生的脉冲信号,和秒计时电路一样,当下一个脉冲来到时,两计数器均被置为零。

小时部分电路个位和十位使能端分别由分钟进位脉冲和小时个位进位脉冲控制,置数端接高,清零端接小时输出为24时的状态,则当数字钟由23进位为24时,小时计时器瞬间被清零,从而显示出小时由23变为00的状态。

时间计时电路原理图如图6所示。

v
1
3.2.4模式控制电路原理
数字钟的模式切换及校时由0001
、和02
s三个按键来进行控制。

数字钟
s s
模式由时间日期闹钟显示模式0
M、秒表模式1
M、校时模式2
M、闹钟定时模式3
M等四种模式组成。

由三个按键来实现对多个模式的控制是通过译码电路来实现的,译码电路的核心芯片为74138
s的四种
LS译码器。

通过译码器来实现00
控制模式,在0
s分别控制两种模式来进行时间、日期、闹
s和02
M模式下,01
钟显示及闹钟开闭的多种切换。

在1
s控制两种模式实现秒表的暂
M模式下,01
停及运行,在秒表暂停时02
s来
s可以实现对秒表的清零。

在2
M模式下,通过02
控制对分钟个位、小时个位、日和月校正模式的切换,在各种模式下,01
s工作使其显示值进行增加,从而实现校时功能。

在3
s来控制闹钟
M模式下,通过02
分钟和小时定时模式的切换,01
s工作使闹钟定时值进行改变。

3.2.5闹钟工作电路原理
闹钟工作电路是通过基本逻辑门电路实现的,利用同或门电路来判断定时时间的分钟和小时与数字钟工作显示时间的分钟和小时是否相同,当相同时输出高电平来驱动蜂鸣器工作,蜂鸣器工作一分钟以后,定时时间和数字钟工作时间则会不同,输出低电平,则蜂鸣器停止工作,从而实现数字钟的闹钟功能。

4 结论
(1)用多谐振荡器产生的频率占空比不能为50%,但此种频率对时钟影响较小,产生占空比为40%的时钟脉冲即可满足此系统要求;
(2)电路仿真具有较强的可操作性,多功能数字钟的硬件电路较复杂,实践起来较困难。

(3)由于电路控制原因,数字钟刚通电时,日期显示0月1日,但当数字钟正常工作时,日期将不会出现0月或者0日的情况。

此设计不足可以通过日期计时电路的控制触发方式解决,由于此问题对数字钟功能不会产生影响,故未对其改进。

参考文献
[1] 秦增煌、姜三勇.电工学——电子技术.北京;高等教育出版社,2009
[2] 肖景和.数字电路应用精粹.北京;人民邮电出版社,2006
[3] 肖景和、赵健.初学无线电.北京;人民邮电出版社,2007
[4] 毕淑娥.电工与电子技术基础.北京;西安交通大学,2008
[5] 孙余凯、项绮明.精选实用电子电路260例子.北京;电子工业出版社,2006
附录一
(1)日期显示电路。

相关文档
最新文档