多功能数字时钟的功能和特点
多功能电子时钟研究报告
XTAL2来自反向振荡放大当作为输入并被外部下拉为低电平时,它们将输出电流,这是因内部上拉的缘故。P1.0和P1.1需要外部上拉,可用作片内精确模拟比较器的正向输入(AIN0)和反向输入(AIN1),P1口输出缓冲器能接收20mA电流,并能直接驱动LED显示器;P1口引脚写入“1”后,可用作输入。在闪速编程与编程校验期间,P1口也可接收编码数据。
新颖数字钟由上而下的进行层次化的设计,所有功能都是围绕单片机芯片AT89C2051展开。从各模块入手,首先定义各个模块的结构然后再对各个模块内部进行详细的设计。在设计过各个模块完成之后都要进行仿真调试,在仿真调试通过之后再对各个模块进行模块的组合兼容性调试。之后对整个工程文件进行整机调试。新颖设计利用单片机代替先前的大量的复杂的门电路使电路功能更加全面,时钟更加准确,利用单片机实现数字钟便于功能的扩展和系统升级,该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便,是一种新型的产品。新颖数字钟用四位数码管显示时、分的时钟。图中左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示电源工作;左下角红色发光二极管(秒灯)闪烁一次表示走动1秒钟;正上方绿色发光二极管是设置灯,当正常走动时此时不亮,当第一次按下设置键时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管闪烁,停止走动,进入校时状态,此时可以进行分钟的调整,当按一次加一键(左键)可实现分钟的加1功能,达到60分时返回0,从0再重新算起;如果再次按下设置键时,若秒灯和设置灯仍旧保持熄灭和点亮状态,表示分钟的数码管停止闪烁,反过来表示小时的两位数码管则开始闪烁,此时可进行小时的调整,按加1键可实现时调整功能,到达24小时返回0;当第三次按下设置键数码管停止闪烁,设置灯熄灭,秒灯重新闪烁,以设定值计时。
半进位即低四位相加或减有否进位或...
多功能电子时钟毕业论文摘要数字电子时钟是人们日常生活中不可或缺的必需品电子钟主要是利用现代电子技术将时钟电子化数字化与传统的机械钟相比具有时钟精确显示直观无机械传动装置等优点因而得到广泛应用另外在生活和工农业生产中人们对电子钟的功能又提出了诸多要求报时闹钟日历温度显示这就需要电子时钟的多功能性根据人们的不同要求本设计主要为实现一款可正常显示时钟测量环境温度带有定时闹铃的多功能电子时钟本设计采用液晶显示以其亮度高显示直观等优点被广泛应用于智能仪器及家用电器等领域该时钟系统主要由时钟模块闹钟模块环境温度检测模块液晶显示模块键盘控制模块组成能够准确显示时间显示格式为时时分分秒秒24小时制可随时进行时间调整具有闹钟时间设置能够对时钟所在的环境温度进行测量并显示设计以硬件软件化为指导思想充分发挥单片机功能大部分功能通过软件编程来实现电路简单明了系统稳定性高关键词电子时钟单片机多功能温湿度传感器AbstractDigital electronic clock is indispensable to daily life Electronic clock is the use of modern electronic technology to clock electronic digital Compared with the traditional mechanical clock a clock-accurate intuitive display no mechanical transmission device etc and thus are widely used In addition the in the the in the life and industrial andagricultural production the people pairs of the the the function of of electronic bell also proposed a a lot of of the requirements of timekeepingalarm clock calendar temperature display which requires the the the versatility of electronic clockAccording to the different requirements of the people of this design to achieve a normal display clock calendar measuring the ambient temperature multi-function electronic clock with alarmThis design uses the LCD its high brightness intuitive and other advantages are widely used in intelligent instruments and appliances and other areas The clock system clock module alarm clock module the ambient temperature LCD module keyboard control module Be able to accurately to display the time display format for the from time to time sub-sub-the seconds seconds system of the 24 hours can be at any time carried out the time to adjust with a the alarm clock time setting be able to pairs the ambient temperature where the by the clock carried out measurement and displayed Design hardware and software as the guiding ideology give full play to the MCU function most of the functionality through software programming simple circuit and system stabilityKeywords digital clocksingle-chip multi-function temperature sensor目录摘要IAbstract II目录 1绪论 111课题背景 1111 多功能数字时钟研究的背景 1 112多功能数字时钟研究现状与意义 2 113 多功能数字时钟的功能 32 硬件设计 421 系统的设计思路 4211设计流程 422单片机的选择 5221 单片机的发展趋势5222 单片机主要应用领域和特点 523 单片机 STC89C52简介 624 实时数字时钟芯片11241 DS12C887概述11242 DS12C887应用电路连接说明12 25数字温度传感器16251 DS18B20 概述 16252 应用电路连接说明17253 DS18B20的各个ROM命令17 2.6 显示部分设计19261 液晶显示屏12864的简介19 262 LCD12864的功能介绍20 263 LCD12864初始化过程25 264 LCD硬件电路的设计2627 单片机最小系统2728 键盘部分2929报警电路设计30210 直流稳压电源部分31211 硬件仿真312111 仿真软件protues简介31 2112 本设计的仿真原理图 323 软件设计3231 编程环境Keil简介3332软件设计总体说明33322 键盘部分344 调试3541软件调试3542硬件调试415 系统可靠性的设计4251 软件可靠性的设计4252 硬件可靠性的设计4353 本系统中的抗干扰的预防措施44 总结45参考文献47附录49附录1 部分程序代码49附录2系统仿真原理图68绪论一寸光阴一寸金寸金难买寸光阴对来说总是那么宝贵单片机在多功能数字钟中的应用已是非常普遍的研究图2-1 硬件设计框图22单片机的选择221 单片机的发展趋势单片机是一种集成电路芯片采用超大规模技术把具有数据处理能力如算术运算逻辑运算数据传送中断处理的微处理器 CPU 随机存取数据存储器 RAM 只读程序存储器 ROM 输入输出电路 IO口可能还包括定时计数器串行通信口SCI 显示驱动电路 LCD或LED驱动电路脉宽调制电路 PWM 模拟多路转换器及AD转换器等电路集成到一块单块芯片上构成一个最小然而完善的计算机系统这些电路能在软件的控制下准确迅速高效地完成程序设计者事先规定的任务目前在单片机系统中应用比较广泛的微处理器芯片主要为8XC5X系列单片机该系列单片机均采用标准MCS-51内核硬件资源相互兼容品类齐全功能完善性能稳定体积小价格低廉货源充足调试和编程方便所以应用极为广泛222 单片机主要应用领域和特点单片机芯片的集成度很高它将微型计算机的主要部件都集成在一块芯片上具有以下特点⑴体积小重量轻价格便宜耗电少⑵根据工控环境要求设计且许多功能部件集成在芯片内部其信号通道受外界影响小故可靠性高抗干扰性能优于采用一般的CPU⑶控制功能强运行速度快其结构组成与指令系统都着重满足工控要求有丰富的条件分支转移指令和很强的位处理功能及IO口逻辑操作功能⑷片内存储器的容量不可能很大引脚也嫌少IO引脚常不够用且兼第二功能以至第三功能但存储器和IO接口都易于扩展自单片机出现至今单片机技术已走过了30多年的发展路程纵观30年来单片机发展里程可以看出单片机技术的发展以微处理器MPU技术及超大规模集成电路技术的发展为先导以广泛的应用领域拉动表现出较微处理器更具个性的发展趋势单片机应用最多的领域主要有以下因它具有小轻廉省的特点所以特别适用于电脑型产品在家用电器玩具游戏机声像设备电子秤收银机办公设备厨房设备家庭安防设备等许多产品上得到应用适用于仪器仪表不仅能完成测量还具有处理监控等功能易于实现数字化和智能化23 单片机 STC89C52简介对于单片机的选型主要应用从单片机应用系统的技术性实用性和要开发性三方面来考虑⑴技术性要从单片机的技术指标角度对单片机芯片进行选择以保证单片机应用系统在一定的技术指标下可靠运行⑵实用性要从单片机的供货渠道信誉程序等角度对单片机的生产厂家进行选择以保证单片机应用系统在能长期可靠运行⑶可开发性选用的单片机要有可靠的可以开发手段如程序开发工具仿真调试手段等综合考虑本设计还是选用了STC89C52单片机主要功能特性兼容MCS51指令系统8K可反复擦写Flash ROM32个双向IO口256x8bit内部RAM 3个16位可编程定时计数器中断时钟频率0-24MHz 2个串行中断可编程UART串行通道2个外部中断源共6个中断源2个读写中断口线3级加密位低功耗空闲和掉电模式软件设置睡眠和唤醒功能表2-1 STC89C52主要功能STC89C52是一种低功耗高性能CMOS8位微控制器具有 8K 在系统可编程Flash 存储器在单芯片上拥有灵巧的8 位CPU 和在系统可编程Flash使得STC89C52为众多嵌入式控制应用系统提供高灵活超有效的解决方案具有以下标准功能 8k字节Flash512字节RAM 32 位IO 口线看门狗定时器内置4KB EEPROM810复位电路三个16 位定时器计数器一个6向量2级中断结构全双工串行口另外 STC89X52 可降至0Hz 静态逻辑操作支持2种软件可选择节电模式空闲模式下CPU 停止工作允许RAM定时器计数器串口中断继续工作掉电保护方式下RAM内容被保存振荡器被冻结单片机一切工作停止直到下一个中断或硬件复位为止最高运作频率35Mhz6T12T可选该器件采用ATMEL搞密度非易失存储器制造技术制造与工业标准的MCS-51指令集和输出管脚相兼容表2-2给出了其主要功能STC89C52各引脚如图2-2所示图2-3 STC89C52引脚图⑴主电源引脚 2根VCC Pin40 电源输入接+5V电源GND Pin20 接地线⑵外接晶振引脚 2根XTAL0 Pin18 片内振荡电路的输入端XTAL1 Pin19 片内振荡电路的输出端⑶控制引脚 4根RSTVPP Pin9 复位引脚引脚上出现2个机器周期的高电平将使单片机复位ALEPROG Pin30 地址锁存允许信号PSEN Pin29 外部存储器读选通信号EAVPP Pin31 程序存储器的内外部选通接低电平从外部程序存储器读指令如果接高电平则从内部程序存储器读指令⑷可编程输入输出引脚 32根STC89C52单片机有4组8位的可编程IO口分别位P0P1P2P3口每个口有8位 8根引脚共32根PO口 Pin39~Pin32 名称为P00~P07P0口是一个8位漏极开路的双向IO 口作为输出口每位能驱动8个TTL逻辑电平晶体管-晶体管逻辑电平P1 口是一个具有内部上拉电阻的8 位双向IO 口p1 输出缓冲器能驱动4个TTL逻辑电平对P1 端口写1时内部上拉电阻把端口拉高此时可以作为输入口使用作为输入使用时被外部拉低的引脚由于内部电阻的原因将输出电流IIL此外P10和P12分别作定时器计数器2的外部计数输入P10T2和时器计数器2 的触发输入P11T2EX具体如下所示在flash编程和校验时P1口接收低8位地址字节引脚第二功能P10 T2定时器计数器T2的外部计数输入时钟输出P11 T2EX定时器计数器T2的捕捉重载触发信号和方向控制P15MOSI在线系统编程用P16 MISO在线系统编程用P17 SCK在线系统编程用P2 口是一个具有内部上拉电阻的8 位双向IO 口P2 输出缓冲器能驱动4 个 TTL 逻辑电平对P2 端口写1时内部上拉电阻把端口拉高此时可以作为输入口使用作为输入使用时被外部拉低的引脚由于内部电阻的原因将输出电流IIL在访问外部程序存储器或用16位地址读取外部数据存储器例如执行MOVX DPTR时P2 口送出高八位地址在这种应用中P2 口使用很强的内部上拉发送1在使用 8位地址如MOVX RI访问外部数据存储器时P2口输出P2锁存器的内容在flash编程和校验时P2口也接收高8位地址字节和一些控制信号P3 口是一个具有内部上拉电阻的8 位双向IO 口p2 输出缓冲器能驱动4 个TTL 逻辑电平对P3 端口写1时内部上拉电阻把端口拉高此时可以作为输入口使用作为输入使用时被外部拉低的引脚由于内部电阻的原因将输出电流IIL P3口亦作为STC89C52特殊功能第二功能使用如下表所示在flash编程和校验时P3口也接收一些控制信号端口引脚第二功能P30 RXD 串行输入口P31 TXD 串行输出口P32 INTO 外中断0P33 INT1 外中断1P34 TO 定时计数器0P35 T1 定时计数器1P36 WR 外部数据存储器写选通P37 RD 外部数据存储器读选通此外P3口还接收一些用于FLASH闪存编程和程序校验的控制信号RST复位输入当振荡器工作时RST引脚出现两个机器周期以上高电平将是单片机复位ALEPROG当访问外部程序存储器或数据存储器时ALE地址锁存允许输出脉冲用于锁存地址的低8位字节一般情况下ALE仍以时钟振荡频率的16输出固定的脉冲信号因此它可对外输出时钟或用于定时目的要注意的是每当访问外部数据存储器时将跳过一个ALE脉冲对FLASH存储器编程期间该引脚还用于输入编程脉冲PROG如有必要可通过对特殊功能寄存器SFR区中的8EH单元的D0位置位可禁止ALE操作该位置位后只有一条MOVX和MOVC指令才能将ALE激活此外该引脚会被微弱拉高单片机执行外部程序时应设置ALE禁止位无效PSEN程序储存允许PSEN输出是外部程序存储器的读选通信号当STC89C52由外部程序存储器取指令或数据时每个机器周期两次PSEN有效即输出两个脉冲在此期间当访问外部数据存储器将跳过两次PSEN信号DS12C887实时时钟芯片功能丰富可以用来直接代替IBM PC上的时钟日历芯片DS12887同时它的管脚也和MC146818BDS12887相兼容由于DS12C887能够自动产生世纪年月日时分秒等时间信息其内部又增加了世纪寄存器从而利用硬件电路解决了千年问题 DS12C887中自带有锂电池外部掉电时其内部时间信息还能够保持10年之久对于一天内的时间记录有12小时制和24小时制两种模式在12小时制模式中用AM和PM区分上午和下午时间的表示方法也有两种一种用二进制数表示一种是用BCD码表示DS12C887中带有128字节 RAM其中有11字节RAM用来存储时间信息4字节RAM用来存储DS12C887的控制信息称为控制寄存器113字节通用RAM使用户使用此外用户还可对DS12C887进行编程以实现多种方波输出并可对其内部的三路中断通过软件进行屏蔽图2-4 芯片引脚分布图和电路连接以下为EDIP封装的引脚说明1MOT引脚为Motorola或Intel总线时序选择端利用此引脚可以选择了两种总线中的一种接VCC时为选择Motorola总线接地或悬空的时候为接Intel总线本次设计选的是Intel总线所以MOT引脚悬空2X1X2引脚连接标准的32768kHz3AD0-AD7双向地址数据复用总线地址与总线周期的开始发送到总线上并由AS信号的下降沿锁到DS12C887所写数据由RW信号的上升沿锁存读周期中DS12c887于DS信号的后期中DS为低RW为高将数据发送到总线上读周期结束后总线回复到高阻状态同时DS时序变高4GND引脚为接地端5CS引脚片选信号输入且低电平有效访问DS12C887总线时必须保持低电平在DS和RW工作期间CS必须保持有效当VCC低于VPF电压时DS12C887内部通过禁止CS输入来禁止访问这个用来在断电后保持RTC数据和RAM数据6AS引脚地址选通输入在AS信号的下降沿将地址锁存到DS12C887中无论CS 是否有效AS在下一个上升沿都会将地址清楚地址选通信号必须先于每个都或写访问如果在CS无效的时候执行了读或写操作则必须在CS信号有效时且在读或写之前重新发送一次读或写信号7RW引脚有两种操作模式在Intel时序中RW低电平有效RW与普通的写使能信号的时序类似并在上升沿锁存数据8DS引脚数据选通或读输入DS引脚根据MOT引脚电平有两种模式在Intel时序中DS表示读取DS12C887数据驱动总线的时间周期此模式下DS引脚与普通RAM的输出使能信号工作方式类似9RESET引脚复位输入低电平有效RESET引脚对时钟日历或RAM不起作用在典型应用中可将RESET接VCC使得DS12C887在进入或退出电源状态时不影响任何控制寄存器的值10IRQ引脚中断请求输出DS12C887的IRQ引脚低电平有效可用作处理器的中断申请输入只要引起中断的状态位置位并且相应中断使能位也置位IRQ将一直保持低电平处理器程序通常通过读取C寄存器来清除IRQ输出RESET也会清楚未处理的中断没有中断发生时IRQ为高阻状态可将多个中断器件接到一条IRQ 总线上只要他们都为漏极开路输出IRQ为漏极开路输出需要使用一个未接上拉电阻与VCC相连11RCLR引脚清楚RAMRCLR引脚低电平有效用来清楚所有114字节的通用RAM 但不影响与RTC相关的RAM要清楚RAM必须在未加VCC的后备电池模式下将RCLR 强制为逻辑0RCLR通过人机接口使用而不是通过外部缓存器驱动该引脚已通过外部上拉不需要外接上拉电阻12SQW引脚方波输出能提供RTC内15个分频器的13个分频比之一可通过对寄存器A的编程来控制SQW输出信号频率243 DS12C887的存储功能在DS12C887内有11字节RAM用来存储时间信息4字节用来存储控制信息其具体垢地址及取值如表1所列由表可以看出DS12C887内部有控制寄存器的A-B等4个控制寄存器户以在任何时候对其进行访问以对DS12C887进行控制操作地址功能取值范围十进制数取值范围二进制 BCD码0 秒0~59 00~3B 00~59 1 秒闹铃 0~59 00~3B 00~59 2 分059 00~3B 00~59 3 分闹铃 0~59 00~3B 00~59 4 12小时模式0~12 01~0C AM81~8C PM 01~12AM81~92PM 24小时模式0~23 00~17 00~23 5 时闹铃12小时制 1~12 01~0C AM81~8C PM 01~12AM81~92PM 时闹铃24小时制0~23 00~17 00~23 6 星期几星期天 1 1~7 01~07 01~07 7 日1~31 01~1F 01~31 8月1~12 01~0C 01~12 9 年0~99 00~63 00~99 10 控制寄存器A 11 控制寄存器B 12 控制寄存器C 13 控制寄存器D 50 世纪0~99 NA 1920 在各种设备家电仪器工业控制系统中可以很容易地用DS12C887来组成时间获取单元以实现各种时间的获取适应电压范围更宽电压范围30 V~55V在寄生电源方式下可由数据线供电独特的单线接口方式DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯DS18B20在使用中不需要任何外围元件全部传感元件及转换电路集成在形如一只三极管的集成电路内温范围-55~+125在-10~85时精度为±05可编程的分辨率为9~12位对应的可分辨温度分别为050250125和00625可实现高精度测温在9位分辨率时最多在9375ms内把温度转换为数字12位分辨率时最多在750ms内把温度值转换为数字速度更快测量结果直接输出数字温度信号以一线总线串行传送给CPU同时可传送CRC校验码具有极强的抗干扰纠错能力负压特性电源极性接反时芯片不会因发热而烧毁但不能正常工作DS18B20引脚定义名称引脚功能描述 1 GND 地信号 2 DQ 数据输入输出引脚开漏单总线接口引脚当被用着在寄生电源下也可以向器件提供电源 3 VDD 可选择的VDD引脚当工作于寄生电源时此引脚必须接地图252应用电路连接方式图253 DS18B20的各个ROM命令1Read ROM [33H]这个命令允许总线控制器读到DS18B20的8位系列编码惟一的序列号的8位CRC码只有在总线上存在单只DS18B20的时候才能用这个命令如果总线上有不止一个从机当所有从机试图同时传送信号时就会发生数据冲突漏极开路连在一起形成与的效果2Match ROM [55H]这是个匹配ROM命令后跟64位ROM序列让总线控制器在多点总线上定位一只特定的DS18B20只有和64位ROM序列完全匹配的DS18B20才能响应随后的存储器操作所有和64位ROM序列不匹配的从机都将等待复位脉冲这条命令在总线上有单个或多个器件时都可以使用3Skip ROM [0CCH]这个命令允许总线控制器不用提供64位ROM编码就使用存储器操作命令在单点总线情况下可以节省时间如果总线上不止一个从机在命令之后紧跟着发一条读命令由于多个从机同时传信号总线上发生数据冲突漏极开路连在一起形成与的效果4Search ROM [0F0H]当一个系统初次启动时总线控制器并不知道单线总线上有多少个器件或它们的64位ROM编码搜索ROM命令允许总线控制器用排除法识别总线上的所有从机的64位编码5Alarm Search [0ECH]这条命令的流程和Search ROM相同然而只有在最近一次测温后遇到符合报警条件的情况DS18B20才会响应这条命令报警条件定义为温度高于TH或低于TL只要DS18B20不掉电报警状态将一直保持直到再一次测得的温度值达不到报警条件6Write Scratchpad[4EH]这个命令向DS18B20的暂存器TH和TL中写入数据可以在任何时刻发出复位命令来中止写入7Read Scratchpad[0BEH]这个命令读取暂存器的内容读取将从第1字节开始一直进行下去直到第9CRC字节读完如果不想读完所有字节控制器可以在任何时刻发出复位命令来中止读取8Copy Scratchpad[48H]这个命令把暂存器的内容拷贝到DS18B20的EROM存储器里即把温度报警触发器字节存入非易失性存储器里如果控制器在这条命令之后跟着发出读时间隙而DS18B20又忙于把暂存器拷贝到E存储器DS18B20就会输出一个0如果拷贝结束的话DS18B20输出1如果使用寄生电源总线控制器必须在这条命令后立即启动强上拉并最少保持10ms9Convert T[44H]这个命令启动一次温度转换而无需其他数据温度转换命令被执行而后DS18B20保持等待状态如果控制器在这条命令之后跟着发出时间隙而DS18B20有忙于做时间转换的话DS18B20将在总线上输出一个0若温度转换完则输出1如果使用寄生电源总线控制器必须在发出这条命令后立即启动强上拉并最少保持500ms以上时间10Read E[0B8H]这条命令把触发器里的值拷贝回暂存器这种拷贝操作在DS18B20上电时自动执行这样一上电暂存器里马上存在有效的数据了若在这条命令之后发出读数据隙器件会输出温度转换忙的标识0为忙1为完成11Read Power Supply[0B4H]若把这条命令发给DS18B20后发出读时间隙器件会返回它的电源模式0为寄生电源1为外部电源2.6 显示部分设计261 液晶显示屏12864的简介本设计用的显示屏是带字库的LCD12864带中文字库的12864 是一种具有4 位8 位并行2 线或3 线串行多种接口方式内部含有国标一级二级简体中文字库的点阵图形液晶显示模块其显示分辨率为128×64 内置8192 个1616 点汉字和128 个168 点ASCII 字符集利用该模块灵活的接口方式和简单方便的操作指令可构成全中文人机交互图形界面可以显示8×4 行16×16 点阵的汉字也可完成图形显示低电压低功耗是其又一显著特点由该模块构成的液晶显示方案与同类型的图形点阵液晶显示模块相比不论硬件电路结构或显示程序都要简洁得多基本特性1低电源电压VDD30--55V2显示分辨率128×64 点3内置汉字字库提供8192 个16×16 点阵汉字简繁体可选4内置128 个16×8 点阵字符52MHZ 时钟频率6显示方式STN半透正显7驱动方式132DUTY15BIAS8视角方向6 点9背光方式侧部高亮白色LED功耗仅为普通LED 的1511010通讯方式串行并口可选11内置DC-DC 转换电路无需外加负压12无需片选信号简化软件设计13工作温度 0 - 55℃存储温度 -20 - 60℃14能显示更多的内容让人一目了然262 LCD12864的功能介绍262 LCD12864引脚功能表引脚号引脚名称方向功能说明 1 VSS - 模块电池源2 VDD - 模块电源正端 3 V0 - LCD驱动电压输入端 4 RS HL 并行的指令数据选择信号串行的片选信号 5 RW HL 并行的读写选择信号串行的数据口 6 E HL 并行的使能信号串行的时钟7-14 DB0-DB7 HL 数据口0-7 15 PSB HL 并串行接口选择H-并行L-串行16 NC 空脚17 RET HL 复位低电平有效18 NC 空脚19 LED_A LED 5V 背光源正极20 LED_BLED 0V 背光源负极⑴基本操作时序LCD12864 读写操作时序总体上来说是比较简单的掌握其有两种方法一种是直接看时序图另外一种方法是直接记忆和总结读写时电平高低和变化很显然第二种更简单直接下面就列出典型读写的时序要求以方便编写程序读状态--输入RS LRW HE H 输出D0-D7 状态字写指令--输入RS LRW LDB0-DB7 指令码E 高脉冲输出无读数据--输入RS HRW HE H 输出D0-D7 数据写数据--输入RS HRW LDB0-DB7 数据E 高脉冲输出无2 指令集说明如下1清除显示CLEARDB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-0-0-0-0-0-1 将 DDRAM 填满20H空格代码并且设定 DDRAM 的地址计数器AC为00H更新设置进入设定点将 ID 设为 1游标右移 AC 加 12地址归 0HOMEDB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-0-0-0-0-1-X设定 DDRAM 的地址寄存器为 00H并且将游标移到开头原点位置这个指令并不改变 DDRAM 的内容3进入设定点ENTRY MODE SET 初始值06HDB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-0-0-0-1-ID-S指定在显示数据的读取与写入时设定游标的移动方向及指定显示的移位ID=1游标右移DDRAM 地址计数器AC加 1ID=0游标左移DDRAM 地址计数器AC减 1S 显示整体画面移动S-ID 1-1画面整体左移S-ID 1-0画面整体右移4显示开关设置DISPLAY STATUS 初始值08HDB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-0-0-1-D-C-B控制整体显示开关游标开关游标位置显示反白开关D 1整体显示开D 0整体显示关但是不改变 DDRAM 内容 C 1游标显示开C 0游标显示关 B 1游标位置显示反白开将游标所在地址上的内容反白显示B 0正常显5游标或显示移位控制 CURSOR AND DISPLAY SHIFT CONTORL初始值0001 XXXX B X=01DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-0-1-SC-RL-X-X这条指令不改变 DDRAM 的内容SC-RL 0-0 游标向左移动SC-RL 0-1 游标向右移动SC-RL 1-0 显示向左移动游标跟着移动SC-RL 1-1 显示向右移动游标跟着移动6功能设定 FUNCTION SET 初始值0011 X0XX B X 01DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-0-1-DL-X-0RE-X-XDL84 位接口控制位DL 18 位 MPU 接口DL 14 位 MPU 接口 RE指令集选择控制位RE=1扩充指令集RE=0基本指令集同一指令的动作不能同时改变 DL 和 RE需先改变 DL 再改变 RE 才能确保设置正确7设定 CGRAM 地址DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 0-1-A5-A4-A3-A2-A1-A0设定 CGRAM 地址到地址计数器ACAC 范围为 00H~3FH 需确认扩充指令中 SR=0卷动位置或 RAM 地址选择8设定 DDRAM 地址DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 1-0-A5-A4-A3-A2-A1-A0设定 DDRAM 地址到地址计数器AC第一行 AC 范围80H~8FH第二行 AC 范围90H~9FH备注ST7920 控制器的 128×64 点阵液晶其实原理上等同 256×32 点阵第三行对应的 DDRAM 地址紧接第一行第四行对应的 DDRAM 地址紧接第二行用户在使用行反白功能时如果第一行反白第三行必然反白第二行反白第四行必然反白这是正常现象9读取忙标志和地址 RS 0RW 1DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0 BF- A6-A5-A4-A3-A2-A1-A0读取忙标志以确定内部动作是否完成同时可以读出地址计数器AC的值10 写显示数据到 RAM RS 1RW 0DB7-DB6-DB5-DB4-DB3-DB2-DB1-DB0当显示数据写入后会使 AC 改变每个 RAMCGRAMDDRAM地址都可以连。
数字时钟知识讲解
数字时钟知识讲解目录一、数字时钟概述 (2)1. 数字时钟定义与特点 (3)2. 数字时钟发展历史 (4)二、数字时钟基本原理 (5)1. 数字时钟计时原理 (6)1.1 时间计数方式 (7)1.2 计时准确度与频率 (8)2. 数字时钟电路构成 (9)2.1 振荡器电路 (10)2.2 分频器电路 (11)2.3 显示驱动电路 (12)三、数字时钟显示技术 (13)1. LED显示技术 (14)1.1 LED数码管显示原理 (15)1.2 LED时钟显示效果 (17)2. LCD显示技术 (18)2.1 LCD显示器原理 (19)2.2 LCD时钟显示效果 (20)四、数字时钟功能与应用领域介绍 (21)一、数字时钟概述数字时钟是一种现代化的时间显示设备,与传统的机械时钟相比,具有更高的准确性和精度。
数字时钟采用电子技术和数字化显示方式,能够精确地显示当前的小时、分钟、甚至秒数。
它们广泛应用于家庭、办公室、公共场所,甚至是个人手持设备中,已成为日常生活中不可或缺的一部分。
数字时钟的基本原理是依靠晶体振荡器来计时,通过电子线路驱动显示器显示时间。
与传统的机械时钟相比,数字时钟具有许多优势。
它们精度高,不受环境温度和机械振动的影响。
数字时钟易于阅读,特别是对于视力较弱的人群来说,数字显示比传统指针显示更为清晰易辨。
现代数字时钟还具备多种附加功能,如闹钟、定时器、日历等,为用户提供了极大的便利。
数字时钟的发展离不开电子技术的进步,随着科技的不断发展,数字时钟不仅在功能和应用领域得到了扩展,其设计和制造技术也不断提升。
数字时钟已不再是简单的计时工具,更是时尚和科技的代表。
它们在造型设计、显示色彩、界面控制等方面不断创新,为用户带来全新的视觉体验和使用感受。
数字时钟已经成为现代社会不可或缺的一部分,它们以其高精度、易用性和多功能性为人们提供了更加便捷和准确的时间服务。
1. 数字时钟定义与特点数字时钟是一种采用数字技术来显示时间的电子设备,与传统的模拟时钟相比,数字时钟具有许多优点和特点。
电子行业数字电子钟说明书
电子行业数字电子钟说明书概述本说明书旨在向用户介绍并指导使用电子行业数字电子钟。
本产品是一款高精度、多功能的数字电子钟,适用于电子行业及其他需要精确时间记录和显示的场景。
1.高精度:采用了先进的时钟芯片,确保准确的时间显示。
2.多功能:除了基本的时间显示功能,还提供了闹钟、倒计时、计时器等实用功能。
3.大屏幕显示:采用了大尺寸的数字液晶屏幕,清晰明了。
4.多种显示模式:提供了12小时制和24小时制两种时间显示模式,可以根据实际需要进行切换。
•尺寸:150mm x 100mm x 30mm•重量:200g(不含电池)•电源:2节AAA干电池(不包含)•屏幕:大屏幕液晶显示屏•温度范围:0℃ ~ 50℃•湿度范围:10% ~ 90% RH使用方法安装电池1.打开电子钟背部的电池仓盖。
2.按照正负极的标识,正确地安装2节AAA干电池。
3.关上电池仓盖。
时间设置1.电池安装完成后,屏幕将亮起,并显示12:00 AM。
2.按下“设置”按钮,屏幕上会显示时间设置的界面。
3.使用“上”和“下”按钮调整小时、分钟和秒数。
长按“上”或“下”按钮可以快速调整。
4.设置完成后,再次按下“设置”按钮退出设置模式。
闹钟设置1.在时间显示界面,按下“闹钟”按钮进入闹钟设置模式。
2.使用“上”和“下”按钮调整闹钟的小时和分钟。
3.设置完成后,按下“闹钟”按钮保存设置,并返回时间显示界面。
4.在设定的闹钟时间到达时,电子钟将发出蜂鸣声提醒。
倒计时设置1.在时间显示界面,按下“倒计时”按钮进入倒计时设置模式。
2.使用“上”和“下”按钮调整倒计时的小时和分钟。
3.设置完成后,按下“倒计时”按钮保存设置,并返回时间显示界面。
4.在倒计时结束时,电子钟将自动停止并发出蜂鸣声提醒。
计时器功能1.在时间显示界面,按下“计时器”按钮进入计时器模式。
2.按下“开始/暂停”按钮开始计时,再次按下暂停计时。
3.按下“复位”按钮重置计时。
注意事项1.请勿将产品暴露在高温、高湿度或极低温度环境下,以免对电子元件造成损坏。
多功能数字钟
电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时LED灯花样显示。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
多功能数字电子钟_VHDL
四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then
多功能数字钟电路设计
多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。
可以使用七段显示器来显示数字。
2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。
3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。
4.日历功能:设计一个日历功能,可以显示当前的日期和星期。
5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。
6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。
7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。
8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。
这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。
多功能数字时钟
E.再按一次功能键返回时钟界面
F.(复位键):按下该键系统复位,系统从头开始执行程序。如遇故障可按下该键进行
系统复位。复位不会造成时间、闹铃等信息的丢失。
复位键
由上至下为移位键、加键、减键、功能键
多功能数字时ห้องสมุดไป่ตู้使用说明:
A(调整模式):进行时钟、日期、星期的设置
方法:按功能键进入调整模式,再按移位键,移动光标,选择要调整的位置,再按加键或减键改变数值
B(秒表):在A的基础上再一次按调整键进入秒表模式,按移位键课重新计时
C(调闹钟设定):在B的基础上再按一次功能键,进入闹钟设定状态。按加键或减键对闹钟时刻进行设定;再按一次移位键确认。
多功能数字时钟的功能和特点
多功能数字时钟的功能和特点:1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号;2. 基本时钟计时功能;3. 闹钟功能;4. 计数器倒计时功能;5. 整点报时功能;6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止;7. 任意键关闭闹钟振铃功能(与懒人模式有关);8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃;9. 计数器手动启动功能;10. 一键启动或关闭闹钟或者计数器功能;11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统;12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间;13. 菜单设置功能,人机界面友好;14. 30秒不操作,自动退出菜单功能;15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作;16. 可以插上4×4小键盘进行快速操作;17. 键盘自动消抖;18. 4×4小键盘快捷键;19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置;20. “↑”、“↓”键连击功能实现快速数字设定;21. 时钟后台计时功能,查看菜单不会影响时钟计时。
22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。
************************************************************************多功能数字时钟的使用方法:一、时钟的设定和显示:1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。
数字时钟
数字时钟设计方案1.前言数字时钟是由计数器、时钟信号源、数码管等组成的,具有整点报时功能。
数字时钟是一种计时装置,它具有时、分、秒计时功能和显示计时功能。
数字时钟精度高、稳定性好,不需经常校对,便于携带。
再定时控制和时间程序控制等方面得到了广泛的应用2、设计方案该方案通过计数器和数码管来设计的,该方案的原理是:首先时钟脉冲源给“1/10秒”计时器一个频率为0.1Hz的连续时钟脉冲,“秒”计时器通过串联进位方式与“分”位、“时”位进行连接,计时器芯片采用74LS160,用数码管来进行显示。
首先时钟脉冲源给“1/10秒”一个脉冲信号,当“1/10秒”计时器的数位10时就给秒计数器产生一个进位,将这个进位输出作为“秒”计时器的脉冲输入端CP,当“秒”计时器的数为60时产生进位,作为“分”计数器的信号输入端CP,“分”计数器也是一样,“时”计数器产生进位后,利用与非门对其进行清零。
3、单元模块设计3.1“1/10秒”位设计该部分是采用10进制计数器,对“1/10秒”进行计数,通过数码管来进行显示,并用进位输出给“秒”计时器一个计数脉冲。
选取74LS160D芯片、与非门74LS00D、时钟脉冲源和数码管等。
将74LS160D芯片的输出端QA、QB、QC、QD从左到右依次和数码管的管脚相连接。
时钟脉冲源一端接地,另一端和74LS160D的信号输入端CP进行连接,给74LS00D的引脚控制端一个高电平,让74LS00D 输入端的两端分别接输出端QB、QD,将输出端与74LS160D的清零端连接,在计数到9时实现请零,74LS160D的输出端和“秒”计时器的CP端进行连接,将其作为秒”计时器计数脉冲信号。
3.2“秒”位设计该部分是采用60进制计数器,对“秒”进行计数,通过数码管来进行显示,并用进位输出给“分”计时器一个计数脉冲,同时接收“1/10秒“计时器计数脉冲选取2片74LS160D芯片U3、U4和两个数码管U1、U2和与非门74LS00D,让芯片U3的输出端QA、QB、QC、QD 和数码管U2的管脚依次进行连接,芯片U4和数码管U1连接方式与U3、U2连接方式相同。
多功能数字时钟课程设计
多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。
2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。
3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。
技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。
2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。
3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。
情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。
2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。
3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。
课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。
学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。
教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。
二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。
教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。
教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。
教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。
教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。
教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。
多功能电子时钟
摘要随着科学技术的不断发展,电子时钟已经成为一种普遍的工艺了。
日常生活中到处可见。
电子时钟的设计有很多种,普遍的电子时钟是基于单片机用汇编语言做成的扩展。
ARM功能也一样且更精确。
本文是详细介绍基于ARM上做成的电子时钟。
这个电子时钟的硬件是用LPC2103板, 8个按键和8个数码管组成的键盘显示板,还有LED灯当成闹铃使用。
此时钟还可以当成秒表使用。
这是一个基于ARM实现多功能的电子时钟。
关键词:ARM;数码显示管;按键;LED灯;目录前言3第一章概述 4 电子时钟概述 4第二章工作原理 5 系统框图 5时钟的工作原理与功能 5第三章硬件电路设计 6 键盘显示板的电路设计 6数码管的电路设计 8键盘电路设计 8蜂鸣器电路的设计 8的电路设计 9第四章软件设计 11 软件设计 11第五章调试过程 18 调试过程 18总结 18附录 18参考文献 20 谢辞 20前言时钟是人们生活中必不可少的一种工具,更是更是在人类生产,生活,学习等多个领域得到广泛的应用。
然而随着时间的推移,尤其是在现在科技的发达,生活水平高,什么都讲究效率的年代。
人们不仅对时钟的精度要求高,而且对时钟的功能的要求也越来越多。
时钟已不仅仅是一种用来显示时间的工具,而是在很多实际应用中它还需要能够实更多的其他功能。
比如日历显示功能,秒表功能,闹钟功能,温度或湿度的测量电压测量等。
时钟数字化给人们的生活带来了极大的方便,而且也大大地扩展了钟表原先的保湿功能。
诸如定时自动报警,按时自动闹铃,定时广播,各种定时电气的自动启用等。
这些功能都是一钟表数字化为基础的。
可以说设计多功能数字时钟的意义已不不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。
在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实施控制的实用系统,从而应用到实际工作与生产中去。
因此,研究数字时钟及扩大其应用,有着非常现实的意义。
多功能语音报时数字钟的设计 (4)
多功能语音报时数字钟的设计摘要:自进入21世纪以来,电子产业飞速发展,各种新兴的电子产品布满了电子专柜,电子产品几乎走进了家家户户,走进了社会的各个行业,有力的推动了社会生产力的发展和电子信息化、电子智能化的提高。
数字钟也在发生着各种各样的变化,由体积较大、功能少、运行不精确的机械钟变为在液晶显示屏上显示数字的数字钟,再到多种功能于一钟的语音报时数字钟等等。
本设计是实现一款可正常显示当前时间,测量当前温度,带有语音报时的多功能数字钟。
本设计是采用AT89C51单片机控制的多功能语音报时数钟,可以显示年、月、日、时、分、秒、星期、温度。
具有年、月、日、时、分校准功能,自动提取温度并显示功能,语音报时功能,调整时间按下相应键会发出嘟嘟提示声功能。
采用DS1302时钟芯片进行时钟控制,DS18B20传感器实现温度采集功能,LCD12864作为液晶显示模块,ISD1420语音芯片实现语音播报时间功能。
钟表的多功能化给我们生活带来了很大的改善,比如定时报警、备忘提醒、电气设备的自动断电、自动开启关闭等功能。
数字时钟对世界有着重要的影响,对工业、电子业、商业有着重要的推进作用,使用简单方便,成本低,显示直观,在广阔的市场具有非常很好的前景市场。
数字时钟之所以这么受广大的欢迎,它使用简单直观,数字时钟在显示方面能清楚的在LED显示屏上显示出年、月、日、周日、时、分、秒,还有调准时间的准确度的功能,并且能够显示当日的温度情况。
但目前市场上的数字时钟还比较机械性和传统性,在实际运用过程中不够灵活。
而随着社会的不断发展,人们对数字时钟的控制要求越来越高,数字时钟应用到很多方面,在报警和闹铃的方面都应用到数字时钟准确的计时功能,还有定时器,微波炉,自动通电器,数字时钟可以成为自己生活中的小助手,可以轻松掌控时间,又能了解天气及温度的变化。
语音报时多功能数字时钟改善了人们的生活质量,为人们的生活、工作带来极大的便利。
关键词:数字钟单片机温度液晶显示语音报时一、绪论时间对于我们每个人都很重要,包括老人和小孩,通过眼睛看时间,当然可以看到当前时间,但是对于视力不好老人和不认识钟表的小孩来说,语音报时数字钟就给他们带来了很大的帮助,只需要按下相应键就可以自动播报获得时间。
多功能数字时钟毕业设计【范本模板】
摘要数字电子时钟是人们日常生活中不可或缺的必需品.电子钟主要是利用现代电子技术将时钟电子化、数字化.与传统的机械钟相比,具有时钟精确、显示直观、无机械传动装置等优点,因而得到广泛应用.另外,在生活和工农业生产中,人们对电子钟的功能又提出了诸多要求:报时、闹钟、日历、温度显示,这就需要电子时钟的多功能性。
根据人们的不同要求,本设计主要为实现一款可正常显示时钟,测量环境温度、湿度,带有定时闹铃,倒计时的多功能电子时钟。
本设计采用液晶显示以其亮度高、显示直观等优点被广泛应用于智能仪器及家用电器等领域。
该时钟系统主要由时钟模块、闹钟模块、环境温度湿度检测模块、液晶显示模块、键盘控制模块以及信号提示模块组成.能够准确显示时间(显示格式为时时:分分:秒秒,24小时制),可随时进行时间调整,具有闹钟时间设置、闹钟开/关、止闹功能,能够对时钟所在的环境温度进行测量并显示。
设计以硬件软件化为指导思想,充分发挥单片机功能,大部分功能通过软件编程来实现,电路简单明了,系统稳定性高。
关键词:电子时钟;单片机;多功能;温湿度传感器AbstractDigital electronic clock is indispensable to daily life. Electronic clock is the use of modern electronic technology to clock electronic, digital. Compared with the traditional mechanical clock, a clock—accurate,intuitive display, no mechanical transmission device,etc.,and thus are widely used. In addition,the in the the in the life and industrial and agricultural production,, the people pairs of the the the function of of electronic bell also proposed a a lot of of the requirements of:timekeeping,alarm clock,calendar,temperature display, which requires the the the versatility of electronic clock。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
数字时钟调研报告
数字时钟调研报告数字时钟调研报告一、引言数字时钟是现代社会的常见物品之一,被广泛应用于家庭,学校,办公室等各种场所。
它具有较高的准确性、易读、易操作等特点,受到了广大人们的喜爱。
为了更好地了解数字时钟市场的现状以及其使用和需求情况,我们进行了一次数字时钟调研。
二、调研方法本次调研采用了问卷调查和实地观察的方法。
我们在市区的家庭、学校和办公室等地区进行了实地观察,并随机选择了一定数量的受访者进行了问卷调查。
三、调研结果调查结果显示,数字时钟在现代社会应用广泛,几乎可以在每个家庭、学校和办公室中找到。
在所调研的对象中,有90%以上的人使用了数字时钟作为日常生活中的计时工具。
1. 数字时钟的种类和功能根据调研结果,目前市面上的数字时钟种类众多,包括挂钟、台钟和手表等不同形式的产品。
大多数数字时钟有显示时间的功能,同时也常常具备显示日期、闹钟和温度等多种功能。
2. 数字时钟的品牌和价格调研结果显示,数字时钟市场上的品牌非常多样化,包括国内知名品牌和国际知名品牌。
价格方面,数字时钟的价格从几十元到几百元不等,不同品牌、功能和材质的数字时钟定价不同。
3. 数字时钟的使用情况和需求调研显示,大多数人使用数字时钟是为了方便自己的时间管理和生活安排。
他们认为数字时钟准确可靠,操作简单,清晰易读,可以更好地帮助他们了解时间。
此外,调研还发现人们对数字时钟的外观和质量的要求较高。
他们希望数字时钟的外观设计美观简洁,并且材质坚固耐用。
对于数字时钟的功能,他们更倾向于选择具备多种功能的产品,例如显示日期、闹钟和温度。
四、存在的问题和发展趋势通过调查我们发现,目前数字时钟市场上存在以下问题:1. 部分低价位的数字时钟质量不稳定,易损坏;2. 部分数字时钟的显示屏不够清晰,观察时间不够方便;3. 部分数字时钟的功能设计不够人性化,操作过于复杂。
随着科技的不断发展,数字时钟也在不断创新和改进。
我们预测未来数字时钟的发展趋势将是更加智能化和个性化。
多功能数字钟电路设计
多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。
下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。
1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。
该译码器接收来自实时时钟(RTC)模块的BCD编码输出。
RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。
BCD 编码输出通过CD4543译码器转换为七段LED显示。
2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。
RTC模块可以提供年份、月份和日期的BCD编码输出。
这些编码输出通过CD4543译码器转换为七段LED显示。
3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。
我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。
然后,我们可以使用一个比较器来比较当前时间和闹钟时间。
如果它们匹配,闹钟就会响起。
4. 定时器功能
定时器功能可以通过555定时器来实现。
我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。
当定时器完成计时时,它可以触发一个报警器或执行其他操作。
总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。
这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
多功能数字时钟的功能和特点:1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号;2. 基本时钟计时功能;3. 闹钟功能;4. 计数器倒计时功能;5. 整点报时功能;6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止;7. 任意键关闭闹钟振铃功能(与懒人模式有关);8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃;9. 计数器手动启动功能;10. 一键启动或关闭闹钟或者计数器功能;11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统;12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间;13. 菜单设置功能,人机界面友好;14. 30秒不操作,自动退出菜单功能;15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作;16. 可以插上4×4小键盘进行快速操作;17. 键盘自动消抖;18. 4×4小键盘快捷键;19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置;20. “↑”、“↓”键连击功能实现快速数字设定;21. 时钟后台计时功能,查看菜单不会影响时钟计时。
22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。
************************************************************************多功能数字时钟的使用方法:一、时钟的设定和显示:1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。
第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。
例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。
例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。
例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。
2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”键)进入功能菜单,一共有三种功能菜单:“Set Clock”、“Set Alarm”、“Set Counter”,分别表示设置时钟、设置闹钟、设置计数器。
通过按接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择菜单,因为LCD1602只有两行,所以同时只能有2个功能菜单显示出来,其中最左边有一个“→”符号的表示本菜单是选中的功能菜单。
然后再次按“确定”键进入这一选中的功能进行设置。
另外,4×4小键盘上的“1”、“2”、“3”键分别是“Set Clock”功能、“Set Alarm”功能、“Set Counter”功能的快捷键。
在正常显示时间的界面下,也可以通过4×4小键盘上的“1”选择“Set Clock”功能,“2”选择“Set Alarm”功能,“3”选择“Set Counter”功能,再次按“确定”键或者4×4小键盘上的“1”、“2”、“3”键就可以进入对应功能。
3. 如果选择了“Set Clock”菜单,按“确定”键进入设置时钟的功能进行设置,这时液晶上第一行显示“Set Clock Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载当前显示的时间作为设置时间的基础。
其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。
其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。
数值的限定范围是自动进行的,比如设置h1时,当按下“↑”、“↓”键,就自动在0、1、2三个数字中循环,设置好以后,“←”、“→”键来选择下一位数字h0或者s0来设置。
按当按下4×4小键盘上的0-9的数字键,如果按的建不是0、1、2三个数字,按其他键是无效的。
当按下4×4小键盘上的有效数字键后,会自动进入设置h0的位置,同时h0开始闪烁。
4. 当设置完毕时钟的时间后,可以按“确定”键保存当前时钟的设置,再按“取消”(接口板s5键或者4×4小键盘上的“取消”键)退出菜单,回到正常显示时间的界面。
二、闹钟的操作:1. 首先,通过菜单设置好闹钟的时间,按“确定”保存当前闹钟的设置,再按“取消”退出菜单,回到正常显示时间的界面。
此时alarm_on(发光二极管D1)自动点亮,说明闹钟功能启动。
你也可以通过按alarm_sw键,关闭闹钟功能,此时alarm_on灭。
关闭后,如果不需要改动原来设置的闹钟时间,可以通过再次按alarm_sw键,开启闹钟功能,此时alarm_on亮。
2. 如果关闭了懒人模式(SW7键,lazy_mode,拨在上边),当时钟到达设定的闹钟时间,就会开始振铃1分钟然后停止,到明天同一时间会再次响起。
闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,就会关闭闹铃振铃,但不会关闭闹钟功能,alarm_on(发光二极管D1)不会受到影响,仍然亮。
3. 如果启动了懒人模式(SW7键,lazy_mode,拨在下边),当时钟到达设定的闹钟时间,就会响1分钟,然后停1分钟,这样一直循环响下去。
直到按下alarm_sw键(s1键)关闭闹钟(注意:关闭闹钟不会立刻关闭闹铃振铃,只不过明天、后天……闹钟就不会响了),或者关闭懒人模式为止(注意:关闭懒人模式也不会立刻关闭闹铃振铃,闹铃振铃要响完这一分钟才会停)。
在懒人模式下,闹铃过程中按下接口板上的s3-s8键或者4×4小键盘上的的任意键,只能暂时关闭闹钟,一分钟后闹钟仍然会振铃。
4. 闹钟设置过程中,液晶上第一行显示“Set Alarm Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的闹钟时间作为设置闹钟的基础。
其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。
其中h1只能是0、1、2三个数字,根据h1的取值h0只能是0-9或者0-3,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。
数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。
三、计数器的操作:1. 首先,通过菜单设置好需要计数器的计数时间,按“确定”保存当前计数器的设置,再按“取消”退出菜单,回到正常显示时间的界面。
此时cntalm_on(发光二极管D2)自动点亮,说明计数器功能启动,同时计数器开始倒计数。
你也可以通过按cntalm_sw键,关闭计数器功能,此时cntalm_on灭。
关闭后,如果不需要改动原来设置的计数器时间,可以通过再次按cntalm_sw键,开启计数器功能,此时cntalm_on亮,同时计数器开始倒计数。
注意:如果给计数器设置的计数值是0,则计数器自动关闭,cntalm_on熄灭,停止计数。
2. 如果打开了自动装载计数器功能(SW6键,is_manual_auto_start,拨在下边),此时若cntalm_on(发光二极管D2)亮,则计数器会每过设定的计数时间就会启动计数闹钟响15秒,如果设定的计数时间小于15秒,则计数闹钟会一直响。
直到按下cntalm_sw(s2键)关闭计数器闹钟,此时cntalm_on灭,计数器闹钟振铃也停止。
每当振铃结束时,cntalm_on(发光二极管D2)保持点亮,自动保持计数器的开启。
3. 如果关闭了自动装载计数器(SW6键,is_manual_auto_start,拨在上边),必须按下cntalm_sw(s2键),cntalm_on(发光二极管D2)亮,打开计数器才会倒计时,直到计数到1时,计数闹钟开始响,同时计数器停止计数,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。
振铃的过程中可以通过按下cntalm_sw(s2键),来关闭计数器振铃,同时cntalm_on(发光二极管D2)灭。
当通过菜单设置了计数器的计数时间后,cntalm_on(发光二极管D2)也亮,计数器也会自动开始倒计数,计数到1时,开始振铃,当振铃结束时,cntalm_on(发光二极管D2)灭,自动关闭计数器。
4. 计数器设置过程中,液晶上第一行显示“Set Count Time:”,第二行显示“h1h0:m1m0:s1s0”,系统会自动装载上次设置的计数器时间作为设置计数器的基础。
其中需要设置的某一位数字处于闪烁状态,可以通过接口板或者4×4小键盘上的“↑”、“↓”键或者是4×4小键盘上的0-9的数字键来设置某一位数字的数值,通过接口板或者4×4小键盘上的“←”、“→”键来选择设置哪一位数字。
其中h1可以是0-9,h0也可以是0-9,m1只能是0-5,m0只能是0-9,s1只能是0-5,s0只能是0-9。
数值的限定范围也是自动进行的,作用跟时钟设置时的一样,不再举例。
四、整点报时功能:将接口板上的SW8键,is_need_alarm,拨在下边(H)表示需要需要整点报时,拨在上边(L)表示不需要整点报时。
整点报时功能启用时,当时钟每到59分56秒、57秒、58秒、59秒、和00分的00秒就会连响5下,其中前四声频率低,最后一声频率高,表示整点。
五、其他注意事项:1. 进入任何一级菜单后,如果30s内不按键(接口板上的s3-s8键或者4×4小键盘上的任意按键),则自动退出菜单,进入正常显示时间的模式。
2. 进入任何一级菜单后,时钟会在后台继续计时,如果没有重新设置时间,则时钟就不会受到任何影响,当前时间不会因为进入菜单而停止计时。