数电课程设计实验报告

合集下载

数字电子电路 数电 实验报告 组合逻辑电路设计

数字电子电路 数电 实验报告 组合逻辑电路设计

装……订……线组合逻辑电路设计一、实验目的1、掌握用基本门电路实现组合电路的设计方法。

2、掌握实现组合电路的连接及调试方法。

通过功能验证锻炼解决实际问题的能力。

二、实验内容(一)概论设计电路的一般过程:实际逻辑问题→抽象逻辑问题→列真值表→画卡诺图→图形化简→简化表达式→画出逻辑图设计中应该注意的问题:卡诺图或公式化简是实现组合电路设计的关键步骤。

为使电路简单,使用器件最少,往往要对不同的化简方法进行比较,得到一个合理的电路。

对于多输出实现组合电路,为了使得总的逻辑电路最简,在各个输出函数化简时不能孤立地考虑各个输出函数如何化简,而应注意尽可能找出多个输出函数的同类项,使总体设计最简。

(二)实践实验题目实验要求:从实验内容所列的题目中选择一个题目进行设计,设计方法和方案不限。

要求首先进行计算机仿真,实现题目功能。

然后在数字实验系统中完成实际操作。

自行设计测试表格,完成实际电路的测试。

(三)实验设计(1)设计一个四人表决电路要求:四人表决(用电平开关表决,当开关为高电平时表示同意,当开关为低电平时表示反对)时,当多数人通过时(三个以上开关为高电平)用发光二极管显示有效,否则发光二极管显示无效。

试用基本逻辑门设计该电路。

具体电路形式不限。

并在实验台上进行调试及验证。

(2)器材:数字试验系统一台,TTL型集成电路与门74LS11,或门74LS32各一块。

(3)电路设计过程根据设计任务要求建立输入、输出变量,并列出真值表:A、B、C、D为表决输入信号,Q为输出显示信号。

装……订……线三、实验步骤1、选好芯片,并连线布线图2、测试结果真值表根据真值表写出逻辑表达式Q=ABCD+ ABC’D+AB’CD+A’BCD+ABCD=ABC+ABD+ACD+BCD′用逻辑表达式化简法简化逻辑表达式Q=ABC+ABD+ACD+BCD=AB(C+D)+CD(A+B)=ABC′D′+A′B′CD四、实验总结本次实验总体效果较为满意,通过做表决器实验,了解到了芯片的用途,与书本相结合。

数电设计实验报告

数电设计实验报告

数电设计实验报告
《数电设计实验报告》
实验目的:通过本次实验,掌握数字电路设计的基本原理和方法,提高学生对数字电路设计的理论和实践能力。

实验内容:本次实验是基于数电设计的实践操作,通过实验板和相关器件进行数字电路设计与调试。

实验内容包括逻辑门电路设计、计数器设计、状态机设计等。

实验步骤:
1. 熟悉实验板和相关器件,了解数字电路设计的基本原理和方法;
2. 根据实验要求,设计逻辑门电路并进行仿真验证;
3. 设计并搭建计数器电路,测试其功能和性能;
4. 进行状态机设计,并对其进行调试和优化;
5. 总结实验过程中遇到的问题和解决方法,对实验结果进行分析和讨论。

实验结果:通过本次实验,我们成功设计并调试了一系列数字电路,包括逻辑门电路、计数器和状态机。

实验结果表明,我们掌握了数字电路设计的基本原理和方法,提高了对数字电路设计的理论和实践能力。

实验结论:本次实验使我们深入理解了数字电路设计的原理和方法,提高了我们的实践能力和创新意识。

通过实验,我们不仅学会了数字电路设计的基本技能,还培养了我们的团队合作和问题解决能力。

这些都为我们未来的学习和工作打下了坚实的基础。

总结:通过本次实验,我们深刻体会到了实践是检验理论的最好方法。

只有通过实际操作,我们才能真正理解数字电路设计的原理和方法,提高我们的实践
能力和创新意识。

希望通过今后的实验学习,我们能不断提高自己的技能和能力,为将来的学习和工作打下坚实的基础。

数电_实验报告

数电_实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理;2. 掌握常用数字电路元器件的识别和测试方法;3. 培养数字电路设计和分析能力;4. 熟悉数字电路实验仪器的使用方法。

二、实验内容1. 逻辑门电路实验:包括与门、或门、非门、异或门等;2. 组合逻辑电路实验:包括编码器、译码器、数据选择器等;3. 时序逻辑电路实验:包括触发器、计数器、寄存器等;4. 数字电路仿真实验:使用Multisim软件进行数字电路仿真。

三、实验原理1. 逻辑门电路:逻辑门电路是数字电路的基本单元,根据输入信号的逻辑关系,输出相应的逻辑信号。

常见的逻辑门电路有与门、或门、非门、异或门等。

2. 组合逻辑电路:组合逻辑电路由逻辑门电路组成,其输出仅与当前输入信号有关,与电路历史状态无关。

常见的组合逻辑电路有编码器、译码器、数据选择器等。

3. 时序逻辑电路:时序逻辑电路由触发器组成,其输出不仅与当前输入信号有关,还与电路历史状态有关。

常见的时序逻辑电路有触发器、计数器、寄存器等。

四、实验步骤1. 逻辑门电路实验:(1)搭建与门、或门、非门、异或门等逻辑门电路;(2)观察输入信号与输出信号之间的关系,验证逻辑门电路的功能;(3)测试逻辑门电路的延迟时间。

2. 组合逻辑电路实验:(1)搭建编码器、译码器、数据选择器等组合逻辑电路;(2)观察输入信号与输出信号之间的关系,验证组合逻辑电路的功能;(3)测试组合逻辑电路的延迟时间。

3. 时序逻辑电路实验:(1)搭建触发器、计数器、寄存器等时序逻辑电路;(2)观察输入信号、时钟信号与输出信号之间的关系,验证时序逻辑电路的功能;(3)测试时序逻辑电路的延迟时间。

4. 数字电路仿真实验:(1)使用Multisim软件搭建数字电路;(2)设置输入信号和时钟信号,观察输出信号的变化;(3)分析仿真结果,验证数字电路的功能。

五、实验结果与分析1. 逻辑门电路实验:实验结果表明,与门、或门、非门、异或门等逻辑门电路能够实现预期的逻辑功能。

数字电路课程设计报告

数字电路课程设计报告

数字电路课程设计报告数字电路课程设计报告(3篇)在经济发展迅速的今天,报告使用的频率越来越高,不同的报告内容同样也是不同的。

在写之前,可以先参考范文,下面是小编帮大家整理的数字电路课程设计报告,仅供参考,欢迎大家阅读。

数字电路课程设计报告1摘要:本文着眼于目前普遍应用在城市道路上的交通灯控制系统,设计了一个东西方向和南北方向十字路口的交通灯控制电路。

进行交通灯状态变换的分析和交通灯总体框架的设计。

关键词:交通灯控制电路 proteus 仿真电路设计1引言1.1设计任务首先设计让倒计时显示器按规律运行的电路,再通过倒计时电路的信号来控制交通灯按4 种状态循环变换。

电源电路采用9V 变压器、整流桥和稳压管,使220V 的交流电转换为5V 的直流电。

4Hz 方波脉冲由555 定时器产生,再由74LS193 实现4 分频,最终输出1Hz 的脉冲信号;用两块74LS193 实现倒计时,一块显示十位,一块显示个位,用2 个D 触发器74HC74实现30s,20s,5s 时间的转换;利用倒计时电路控制4 个状态。

最后通过74LS138 和相应的逻辑门实现对交通灯亮灭的控制。

1.2 要求设计一个东西方向和南北方向十字路口的交通灯控制电路。

要求如下:(1)南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30s、支干道每次通行间为20s;(2)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法);(3)在绿灯转为红灯时,要求黄灯先亮5s 钟,才能变换运行车道;(4)黄灯亮时,要求每秒闪亮一次;(5)同步设置人行横道红、绿灯指示。

(6)设计相关提示:所设计的交通路口为一十字路口,不涉及左右转弯问题2 交通灯控制电路分析2.1交通灯运行状态分析交通灯控制电路,要求每个方向有三盏灯,分别为红、黄、绿,配以红、黄、绿三组时间到计时显示。

数电实验报告实验

数电实验报告实验

一、实验目的1. 理解和掌握数字电路的基本原理和设计方法。

2. 培养动手能力和实验技能。

3. 提高分析问题和解决问题的能力。

二、实验原理数字电路是一种以二进制为基础的电路,其基本元件是逻辑门和触发器。

本实验主要涉及以下几种逻辑门:与门、或门、非门、异或门、同或门、与非门、或非门等。

1. 与门(AND Gate):当所有输入端都为高电平时,输出才为高电平。

2. 或门(OR Gate):当至少一个输入端为高电平时,输出为高电平。

3. 非门(NOT Gate):对输入信号取反。

4. 异或门(XOR Gate):当输入端信号不同时,输出为高电平。

5. 同或门(NOR Gate):当输入端信号相同时,输出为高电平。

6. 与非门(NAND Gate):与门和非门的组合。

7. 或非门(NOR Gate):或门和非门的组合。

三、实验器材1. 数字电路实验箱2. 逻辑门芯片3. 电源4. 连接线5. 测试仪器四、实验步骤1. 组成基本逻辑门电路:根据实验原理,搭建与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路。

2. 测试电路功能:使用测试仪器对搭建的电路进行测试,验证电路是否满足基本逻辑功能。

3. 组成组合逻辑电路:根据实验要求,搭建组合逻辑电路,如全加器、半加器、译码器、编码器等。

4. 测试组合逻辑电路:使用测试仪器对搭建的组合逻辑电路进行测试,验证电路是否满足设计要求。

5. 组成时序逻辑电路:根据实验要求,搭建时序逻辑电路,如触发器、计数器、寄存器等。

6. 测试时序逻辑电路:使用测试仪器对搭建的时序逻辑电路进行测试,验证电路是否满足设计要求。

五、实验结果与分析1. 基本逻辑门电路测试结果:根据测试数据,搭建的与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路均满足设计要求。

2. 组合逻辑电路测试结果:根据测试数据,搭建的全加器、半加器、译码器、编码器等组合逻辑电路均满足设计要求。

武汉纺织大学数电课程设计实验报告参考模板

武汉纺织大学数电课程设计实验报告参考模板

武汉纺织大学数字电子课程设计实验报告设计题目:多路智力竞赛抢答器姓名:班级:学号:指导教师:陶恒齐2015年7月01日摘要数字电子技术课程设计是在学习完数字电子电路课程之后按照课程教学的要求,对学生进行综合性训练的一个实践性教学环节。

主要目的是培养学生综合运用理论知识能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力,了解数字电子电路的一般设计方法,初步掌握数字电子线路安装、布线、焊接、调试等基本技能,熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力,掌握组装、调试方法。

关键词数字电子、培养能力一、数电课程设计的目的数字电子技术课程设计是在学习完数字电子电路课程之后,按照课程教学的要求,对学生进行综合性训练的一个实践性教学环节。

主要目的是培养学生综合运用理论知识能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力;了解数字电子电路的一般设计方法,初步掌握数字电子线路安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装、调试方法。

二、设计题目及要求1.设计题目多路智力竞赛抢答器2.功能要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零和抢答的开始(3)抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答,同时计数器显示此时的时间。

抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时(10秒)抢答的功能。

当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。

参赛选手在设定时间(10秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

数电实验报告

数电实验报告

数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。

实验仪器和设备:1. 示波器。

2. 信号发生器。

3. 逻辑分析仪。

4. 电源。

5. 万用表。

6. 示教板。

7. 电路元件。

实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。

数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。

本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。

实验内容:1. 实验一,基本逻辑门的实验。

在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。

2. 实验二,时序逻辑电路的实验。

利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。

3. 实验三,逻辑分析仪的应用。

利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。

实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。

2. 依次进行各个实验内容的操作,记录实验数据和观察现象。

3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。

实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。

在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。

逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。

实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。

在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。

这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。

数电设计实验报告

数电设计实验报告

一、实验目的1. 熟悉数字电路的基本组成和设计方法。

2. 学习组合逻辑电路和时序逻辑电路的设计与实现。

3. 掌握Verilog HDL语言进行数字电路的设计与仿真。

4. 提高数字电路分析与设计能力。

二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。

2. 时间基准为1秒对应1Hz的时钟信号。

3. 可通过按键进行校时。

三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。

2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。

3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。

4. 显示器:将计时结果显示出来。

5. 校时电路:通过按键进行校时操作。

四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。

2. 使用ModelSim进行仿真,验证电路功能。

3. 将代码编译并下载到FPGA芯片上。

4. 在FPGA开发板上进行实验,测试电路功能。

五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。

数电课程设计实验报告

数电课程设计实验报告

《数字电子技术基础》课程设计报告题目简易数字频率计姓名顺专业班级 13电子信息工程C132班指导教师郝海辉日期 2015-7-1目录一、设计任务与要求…………………………………………………二、元器件简介……………………………………………………三、设计原理及分析…………………………………………………四、设计中的问题及改进…………………………………………五、总结……………………………………………………………简易数字频率计电路的设计一、设计任务与要求1.设计简易数字频率计完成正弦波、矩形波以及任意脉冲信号频率的测量。

测频围为1—999999Hz,分两个频段: 1~999Hz,1KHz~999KHz。

2. 用LED数码管,显示十进制的测量结果,其结果为待测信号的频率。

二、元器件介绍1.十进制计数器74LS160,引脚图如下:74LS160的功能如下:输入输出CP LD CLR EP ET Q××0 ××全“L”↑0 1 ××预置数据↑ 1 1 1 1 计数× 1 1 0 ×保持× 1 1 ×0 保持2 .74LS112引脚图如下:功能表如下:INPUTS OUTPUTS /PRE /CLR CLK J K Q /Q ------------------------------------------------L H X X X H LH L X X X L HL L X X X H HH H ↓ L L Q0 /Q0H H ↓ H L H LH H ↓ L H L HH H ↓ H H TOGGLE H H ?H X X Q0 /Q03.与门7408引脚图如下:功能表如下:4.定时器555555定时器是一种多用途的数字和模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多振荡器。

由于使用方便灵活、方便,所以555定时器在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。

数电课程设计实验报告

数电课程设计实验报告

目录汽车尾灯控制电路设计第一章设计指标 (3)设计指标 (3)第二章系统概述.......................................... .. .. (3)2.1设计思想 (3)2.2可行性论证 (5)2.3各功能的组成 (5)2.4总体工作过程 (5)第三章单元电路设计与分析 (6)3.1各单元电路的选择 (6)3.2设计及工作原理分析 (9)第四章电路的组构与调试.......................................... (9)4.1 遇到的主要问题 (9)4.2 现象记录及原因分析 (9)4.3 解决措施及效果 (9)4.4 功能的测试方法、步骤、设备、记录的数据 (9)第五章结束语 (9)5.1对设计题目的结论性意见及进一步改进的意向说明 (9)5.2 总结设计的收获与体会 (9)附图(电路图、电路总图) (11)参考文献 (11)第一部分:汽车尾灯控制电路设计第一章设计指标用6个发光二极管模拟汽车尾部左、右两侧的3个尾灯,用开关模拟左转、右转、刹车、倒车和检查控制。

当汽车处于左转或右转状态时,左侧或右侧的3个汽车尾灯按照左循环或有循环的顺序以1Hz的频率依次轮流点亮。

当刹车键按下时,汽车所有的尾灯同时长亮。

当倒车键按下时,汽车所有的尾灯以1Hz 的频率闪烁,同时蜂鸣器以0.5s响、0.5秒停的方式鸣响。

4个按键优先级别最高为倒车。

若转弯键和刹车键同时按下,转弯侧的灯轮流循环亮,另一侧的灯长亮。

若左转、右转按键同时按下,做刹车处理。

第二章系统概述2.1设计思想分析设计要求可知,电路主要根据三个按键对两组6个发光二极管进行控制。

发光二极管的点亮模式有3种:循环轮流点亮,闪烁,长亮。

发光二极管循环轮流点亮采用的是计数器控制译码器实现电路,闪烁点亮和蜂鸣器鸣响采用的是一定频率的脉冲信号控制。

左右两组尾灯模式对称,所以采用的是相同的模式控制。

每组尾灯有3路输出,采用三进制计数器控制2—4译码器74139m实现,74139m为高电平有效。

数电实验报告2

数电实验报告2

数电实验报告2数电实验报告2引言:本次实验旨在通过实际操作,加深对数字电路设计和逻辑门的理解。

通过实验,我们能够更好地掌握数字电路的原理和应用,提高我们的实践能力和问题解决能力。

一、实验目的本次实验的主要目的是掌握数字电路设计中的多路复用器和译码器的原理和应用。

通过实际搭建电路和观察结果,我们可以深入了解多路复用器和译码器在数字电路中的作用和功能。

二、实验原理1. 多路复用器多路复用器是一种能够将多个输入信号选择性地输出到一个输出端的数字电路。

它由一个数据输入端和多个控制输入端组成。

根据控制信号的不同,多路复用器可以将不同的输入信号输出到输出端。

多路复用器的主要应用场景是在数字系统中实现数据选择和信号传输。

2. 译码器译码器是一种将输入信号转换为特定输出信号的数字电路。

它通过对输入信号进行解码,将不同的输入信号映射到特定的输出端口。

译码器的主要作用是将数字信号转换为对应的控制信号,从而实现数字电路的控制和操作。

三、实验步骤1. 多路复用器实验首先,我们需要准备一个4:1的多路复用器芯片,以及相应的开关和LED灯。

根据电路图,将芯片与其他元件连接起来。

然后,将不同的输入信号通过开关输入到多路复用器的数据输入端,通过控制信号选择需要输出的信号。

最后,观察LED灯的亮灭情况,验证多路复用器的功能。

2. 译码器实验在译码器实验中,我们需要使用一个3-8译码器芯片,以及一些开关和LED灯。

将芯片与其他元件按照电路图连接起来。

然后,将不同的输入信号通过开关输入到译码器的输入端口,观察LED灯的亮灭情况。

通过观察结果,我们可以验证译码器的功能和正确性。

四、实验结果与分析通过实验,我们可以观察到多路复用器和译码器的输出情况。

在多路复用器实验中,我们可以通过控制信号选择不同的输入信号输出,从而实现数据选择的功能。

在译码器实验中,我们可以通过输入不同的信号,观察LED灯的亮灭情况,验证译码器的正确性。

五、实验总结通过本次实验,我们深入了解了多路复用器和译码器的原理和应用。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数电实验报告

数电实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理。

2. 掌握常用数字电路的设计方法和应用。

3. 熟悉数字电路实验设备和工具的使用。

4. 培养实际操作能力和创新思维。

二、实验原理数字电路是利用数字信号进行信息处理和传输的电路。

它主要由逻辑门、触发器、计数器、译码器等基本单元组成。

本实验主要涉及以下几种数字电路:1. 逻辑门:实现基本的逻辑运算,如与、或、非、异或等。

2. 触发器:存储一位二进制信息,是实现时序逻辑的基础。

3. 计数器:对输入脉冲进行计数,广泛应用于计时、分频等领域。

4. 译码器:将二进制代码转换为其他形式的信号。

三、实验内容1. 逻辑门电路实验:验证基本逻辑门的功能,包括与门、或门、非门、异或门等。

2. 触发器电路实验:验证D触发器、JK触发器、SR触发器等的功能。

3. 计数器电路实验:设计并验证二进制计数器、十进制计数器、可逆计数器等。

4. 译码器电路实验:设计并验证二进制译码器、七段显示译码器等。

四、实验步骤1. 逻辑门电路实验:- 将基本逻辑门电路连接到实验板上。

- 输入不同的逻辑信号,观察输出结果。

- 验证基本逻辑门的功能。

2. 触发器电路实验:- 将D触发器、JK触发器、SR触发器等电路连接到实验板上。

- 输入不同的时钟信号和输入信号,观察输出结果。

- 验证触发器的功能。

3. 计数器电路实验:- 设计并搭建二进制计数器、十进制计数器、可逆计数器等电路。

- 输入不同的时钟信号,观察计数器的输出结果。

- 验证计数器的功能。

4. 译码器电路实验:- 设计并搭建二进制译码器、七段显示译码器等电路。

- 输入不同的二进制代码,观察译码器的输出结果。

- 验证译码器的功能。

五、实验结果与分析1. 逻辑门电路实验:通过实验验证了基本逻辑门的功能,如与门、或门、非门、异或门等。

2. 触发器电路实验:通过实验验证了D触发器、JK触发器、SR触发器等的功能,掌握了触发器的基本工作原理。

3. 计数器电路实验:通过实验设计并验证了二进制计数器、十进制计数器、可逆计数器等,掌握了计数器的设计方法和应用。

数电课程设计报告_3

数电课程设计报告_3

数字电路课程设计报告题目: 两位十进制计数显示器院系信息工程学院专业通信工程学号 AP*******学生姓名指导教师报告日期2013年4月1.设计任务与要求本设计主要采用芯片有555定时器、74LS162计数器、74LS48七段字形译码器, 以及七段LED数码管。

能过以上元器件的组合, 构成一个两位十进制计数显示器, 实现循环依序显示0~99的数字。

要求每位同学独立设计电路原理图, 制作PCB电路板并印制上自己的学号。

2.电路设计2.1.电路组成框图图1 电路组成框图本设计的电路组成框图如图1所示。

电路的基本工作原理: 首先通过波形产生电路产生—方波脉冲作为时钟信号, 将此信号输入到计数电路中, 编译成—4位十进制信号输出, 经显示驱动电路译码—7位输出信号驱动七段LED显示器, 使其从0~9循环显示字形。

2.2.电路总原理图图2 电路总原理图电路总原理图主要有波形产生模块、计数模块、驱动显示模块及显示模块组成。

如图所示, 波形产生模块通过555产生周期为1.023s的方波, 周期的大小是由R1.R2.C2决定, 然后通过74LS162进行计数再由驱动电路驱动显示两位十进制计数器。

2.3.各模块工作原理2.3.1波形产生电路表1 555定时逻辑功能表图3 555定时器内部结构图4 555波形产生电路如图所示。

波形产生如555定时器构成, 555构成多谐振荡电路工作原理如下:接通电源后, 电源VDD通过R1和R2对电容C2充电, 当Uc<1/3VDD时, 振荡器输出Vo=1, 放电管截止。

当Uc充电到≥2/3VDD后, 振荡器输出Vo翻转成0, 此时放电管导通, 使放电端(DIS)接地, 电容C通过R2对地放电, 使Uc下降。

当Uc下降到≤1/3VDD后, 振荡器输出Vo又翻转成1, 此时放电管又截止, 使放电端(DIS)不接地, 电源VDD通过R1和R2又对电容C2充电, 又使Uc从1/3VDD上升到2/3VDD,触发器又发生翻转, 如此周而复始, 从而在输出端Vo得到连续变化的振荡脉冲波形。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数电课程设计实习报告

数电课程设计实习报告

一、实习背景随着科技的不断发展,数字电路技术在各个领域得到了广泛应用。

为了提高我们的实践能力和创新意识,我参加了数电课程设计实习。

本次实习以设计一个电子钟为例,通过实际操作,加深对数字电路原理的理解,掌握数字电路设计的基本方法和步骤。

二、实习目的1. 理解数字电路的基本原理和组成;2. 掌握数字电路设计的基本方法和步骤;3. 培养动手实践能力和创新意识;4. 提高团队合作能力和沟通能力。

三、实习内容1. 电子钟设计原理电子钟是一种利用数字电路来显示时、分、秒的计时装置。

其工作原理如下:(1)采用32768Hz晶振产生振荡脉冲,作为计时基准信号;(2)通过CD4060分频电路将晶振的振荡脉冲分频,得到1Hz的脉冲信号;(3)将1Hz脉冲信号输入到74LS74(D触发器)进行2分频,得到0.5Hz脉冲信号;(4)将0.5Hz脉冲信号输入到74HC161计数器进行计数,计数结果通过CD4511译码器译码,驱动数码显示器显示时、分、秒;(5)增加校正电路和整点报时电路,实现手动校正和整点报时功能。

2. 电路原理图设计根据电子钟设计原理,绘制电路原理图。

电路原理图包括以下部分:(1)晶振电路:采用32768Hz晶振产生振荡脉冲;(2)分频电路:使用CD4060分频电路将晶振的振荡脉冲分频;(3)触发器电路:使用74LS74(D触发器)进行2分频;(4)计数器电路:使用74HC161计数器进行计数;(5)译码电路:使用CD4511译码器译码;(6)显示电路:使用数码显示器显示时、分、秒;(7)校正电路和整点报时电路:实现手动校正和整点报时功能。

3. 电路仿真与调试使用Multisim软件对电路原理图进行仿真,验证电路设计的正确性。

仿真过程中,对电路参数进行调整,确保电路性能达到预期效果。

4. PCB设计根据电路原理图,设计PCB板。

PCB设计包括以下步骤:(1)元件布局:合理布局元件,保证电路的可靠性和美观性;(2)布线:按照电路原理图,进行布线,确保信号完整、电路可靠;(3)生成Gerber文件:将PCB设计导出为Gerber文件,用于生产PCB板。

数字系统电路实验报告(3篇)

数字系统电路实验报告(3篇)

第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。

2. 掌握数字电路的基本实验方法和步骤。

3. 通过实验加深对数字电路知识的理解和应用。

4. 培养学生的动手能力和团队合作精神。

二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。

数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。

三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。

(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。

2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。

3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。

4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。

(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。

五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。

2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。

3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

数电课程设计实验报告[9页]

数电课程设计实验报告[9页]

数电课程设计实验报告[9页]数电课程设计实验报告一、课题名称:多功能流水灯二、实验的元件:LED灯 555 74LS138 74LS191 74LS163 74LS390 74LS74 74LS00 电容电阻单刀双掷开关可变电阻三、实验原理:1)基础部分由一片555 产生矩形波脉冲信号,该555记为555{1},作为时钟信号。

控制每个LED的发光的时间。

利用555(1)作为时钟信号的二进制同步可逆计数器74LS191用来实现流水灯正流和逆流的功能。

输出端ABC三端与3—8线译码器74LS138的三输入端ABC分别相接,使74LS138从000~111译码,8个输出端分别接一个LED灯。

输出端接LED的负极。

LED正极接5V电源上,74LS138对应的输出端,输出低电平有效,因此,当191输出为000时,138 译码器输出端为Y0 为低电平,Y1~Y7为高电平,这是就只有与Y0相接的LED灯发光。

其余的LED不发光。

在191计数器从000~111计数时,138的输出从Y0~Y7依次输出,LED灯也依次按顺序发光,就形成流水灯。

当191 进行减法计数器时,从111依次递减到000,LED灯也从Y7~Y0依次逆着流,这就是流水灯正流与逆流的工作原理。

手动控制流水灯方向利用了74LS74即SR锁存器,S R两端分别接两个单刀双掷开关,以控制S R的高低电平,当S=1,R=0时,输出端Q 置1,Q端一直为高电平,当S=0,R=1时,输出端Q置0,Q端一直为低电平。

把Q端接到191控制加减计数的控制端,就实现手动控制流水灯流动方向的功能。

下面介绍自动控制流水灯流向的方法,此部分用了十六进制计数器74LS163,同样以555(1)作为时钟信号,输出端为QA QB QC QD 将QD端与191 控制加减端相连,用单刀双掷开关控制手动和自控,163计数从0000~0111时,QD端为0,时钟跳动8下,流水灯正好从D1~D8~D1,正流1圈,当下一时钟到来时,163开始从1000~1111计数,此时QD端为1,共8个脉冲,也正好逆流一圈回到D1(在第一个脉冲到来时,D1已经发光。

数电课程设计实习报告

数电课程设计实习报告

数电课程设计实习报告一、实习目的与要求本次数电课程设计实习旨在让我们更好地理解和掌握数字电路的基本原理和设计方法,提高我们的实际动手能力和解决问题的能力。

实习要求我们设计并实现一个数字电子钟,能够显示时分秒,并具备整点报时功能。

二、实习内容与过程1. 设计方案确定:在实习开始阶段,我们首先分析了数字电子钟的设计需求,确定了设计方案。

我们决定采用32768HZ晶振产生振荡脉冲,然后通过CD4060分频得到2HZ脉冲,再经过74LS74(D触发器)2分频得到1HZ脉冲,最后由74HC161计数器计数,并通过CD4511译码器译码,驱动数码显示器的信号,实现时分秒的显示。

2. 电路图设计:在确定了设计方案后,我们开始绘制电路图。

我们选用了合适的集成电路和元器件,完成了电路图的设计。

3. 电路仿真与调试:利用Multisim软件对电路进行仿真,检查电路的functionality和 performance。

在仿真过程中,我们发现在整点报时功能中存在问题,经过多次调试和优化,最终解决了问题。

4. 电路板制作与焊接:根据电路图,我们制作了电路板,并完成了焊接工作。

在焊接过程中,我们严格遵守焊接规范,确保了电路板的质量和稳定性。

5. 实物测试与调试:将焊接好的电路板连接到数码显示器上,进行了实物测试和调试。

在测试过程中,我们发现了部分功能存在的问题,并通过修改程序和调整电路参数,最终实现了设计的预期功能。

三、实习总结与收获通过本次数电课程设计实习,我深刻地理解了数字电路的基本原理和设计方法,提高了我的实际动手能力和解决问题的能力。

在实习过程中,我学会了如何运用集成电路和元器件设计电路,如何进行电路仿真和调试,以及如何制作电路板和进行实物测试。

同时,我也明白了团队合作的重要性,学会了与他人协作共同解决问题。

总之,本次实习对我的数字电路学习和实践具有重要的意义。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录汽车尾灯控制电路设计第一章设计指标 (3)设计指标 (3)第二章系统概述.......................................... .. .. (3)2.1设计思想 (3)2.2可行性论证 (5)2.3各功能的组成 (5)2.4总体工作过程 (5)第三章单元电路设计与分析 (6)3.1各单元电路的选择 (6)3.2设计及工作原理分析 (9)第四章电路的组构与调试.......................................... (9)4.1 遇到的主要问题 (9)4.2 现象记录及原因分析 (9)4.3 解决措施及效果 (9)4.4 功能的测试方法、步骤、设备、记录的数据 (9)第五章结束语 (9)5.1对设计题目的结论性意见及进一步改进的意向说明 (9)5.2 总结设计的收获与体会 (9)附图(电路图、电路总图) (11)参考文献 (11)第一部分:汽车尾灯控制电路设计第一章设计指标用6个发光二极管模拟汽车尾部左、右两侧的3个尾灯,用开关模拟左转、右转、刹车、倒车和检查控制。

当汽车处于左转或右转状态时,左侧或右侧的3个汽车尾灯按照左循环或有循环的顺序以1Hz的频率依次轮流点亮。

当刹车键按下时,汽车所有的尾灯同时长亮。

当倒车键按下时,汽车所有的尾灯以1Hz 的频率闪烁,同时蜂鸣器以0.5s响、0.5秒停的方式鸣响。

4个按键优先级别最高为倒车。

若转弯键和刹车键同时按下,转弯侧的灯轮流循环亮,另一侧的灯长亮。

若左转、右转按键同时按下,做刹车处理。

第二章系统概述2.1设计思想分析设计要求可知,电路主要根据三个按键对两组6个发光二极管进行控制。

发光二极管的点亮模式有3种:循环轮流点亮,闪烁,长亮。

发光二极管循环轮流点亮采用的是计数器控制译码器实现电路,闪烁点亮和蜂鸣器鸣响采用的是一定频率的脉冲信号控制。

左右两组尾灯模式对称,所以采用的是相同的模式控制。

每组尾灯有3路输出,采用三进制计数器控制2—4译码器74139m实现,74139m为高电平有效。

当使能无效时,74139m的4个输出都为高电平;使能有效时,根据译码器输入B、A的码值i输出Yi为低电平。

由表可见,采用74149m输出Y0—Y2方向后控制3个尾灯,当时能G有效时,可有计数器控制译码器输入B、A按“00”“01”“10”状态变化,则3个尾灯轮流依次点亮。

若使能G无效,译码器输出全为高电平,可采用逻辑门控制发光二极管全亮或由倒车键选通脉冲信号控制发光二极管。

74139m逻辑功能表如图2-6-1所示。

表2-6-1 2—4译码器74139m功能表输入G B A输出Y0 Y1 Y2 Y3L * *H H H HH L L L H H HH L H H L H HH H L H H L HH H H H H H L采用20Hz至20KHz的音频脉冲信号控制蜂鸣器,可以使蜂鸣器鸣响,信号频率越高,音调越高。

本设计在倒车时,蜂鸣器以0.5秒间隔鸣响,可以在刹车键按下时,通过逻辑门控制产生如图2-6-1的脉冲信号波形驱动蜂鸣器,尾灯控制参考设计方案原理框图如图2-6-2所示。

图2-6-1倒车时蜂鸣器控制波形图2-6-2尾灯控制参考设计方案原理框图图2-6-3汽车尾灯控制电路状态表2.2可行性论证在汽车运行的过程中,驾驶司机通过尾灯通知后继车辆本人的意图,对于维持正常的交通次序,保障人生安全具有极其重要的意义。

所以设计出一个更加科学,实际化的尾灯电路控制系统是十分有必要的。

下面从2个方面论述本设计的可行性。

(1)用按键控制4种状态,使司机在应急状态能够以最快速度通知其他司机自己的行车意图。

避免了脚踩刹车时的反应滞后;转弯时左右循环,让后继车辆更加清晰明白前行车辆的意图,避免视觉失误;设计时还考虑优先级,最高级别为倒车,转弯键和刹车键同时按下,转弯侧循环亮,另一侧灯长亮。

若同时按下左右转弯键做刹车处理。

优先级别的设计更人性化的考虑了各种驾驶时情况的变化。

(2)设计电路简单易于实现,适合于投入生产。

整个设计采用7个7490级联实现8分频,再用一个7490和一个与门实现三进制计数,两个74139m 译码器分别控制左右循环,逻辑控制则采用门电路实现,不存在不可操作部分。

(3)电路的设计利用QuartusⅡ绘图,分析综合后下载到LP-2900装置上,通过编相应的引脚,实现效果。

综上,本设计方案是可行的。

2.3各功能的组成分频器采用7490芯片实现。

用7个7490实现10MHz-1Hz共八个10倍分频,得到1KHz和1Hz的信号,用于实现不同频率信号的输入。

倒车时需要交替选择1KHz和1Hz频率,可以用分频器实现。

三进制计数器采用7490进行三进制计数电路设计,用异步清零使其变为三进制计数器。

QB、QC为输出,00,01,10;复位信号为11,用于控制译码器的两个输入,使左转,右转实现循环点亮。

译码器采用74139m,其中一个译码器的使能端控制左循环的逻辑信号控制,另一个译码器额使能端控制右循环的的逻辑信号控制。

逻辑控制部分则实现左边长亮电路、右边长亮电路、左边循环点亮电路、右边循环点亮电路和蜂鸣器的选择。

FPGA开发装置用于实现设计,D区的发光二极管L13-L15、L20-L22作为左、右两组尾灯。

C区的蜂鸣器实现倒车控制。

整个电路实现4个功能,分别是左转、右转、刹车、倒车。

左、右对称,以左转为例:有分频器,三进制计数器,译码器,非门,与门,LED灯组成;刹车由与门,或门组成;倒车由分频器和与门实现。

2.4总体工作过程左循环键按下时,分频器选择1Hz频率,计数器输出控制左循环译码器,循环点亮三个灯;右循环键按下时,分频器选择1Hz频率,计数器输出控制右循环译码器,循环点亮三个灯;刹车键按下时时不选择频率,直接输入电平,六个灯同时长亮;倒车键按下时,交替选择1KHz和1Hz频率,蜂鸣器0.5秒间隔响,左右尾灯均1Hz闪;左右键同时按下做刹车处理,刹车键和转弯键同时按下,转弯侧尾灯循环点亮,另一侧长亮;第三章单元电路设计与分析3.1各单元电路选择分频器分频器用7490芯片实现。

用7个7490实现10MHz-1Hz共八个10倍分频,得到1KHz和1Hz的信号。

当计数器脉冲CP控制五进制计数器的时钟CLKB,并以五进制计数器的最高为输出QD控制二进制计数器的时钟CLKKA,构成5421BCD码十进制计数器,输出码从高位到低位顺序为QA、QD、QC、QB。

最高为输出QA的占空比为50%。

满足要求,设计图如下:仿真波形计数器和译码器控制采用7490进行三进制计数电路设计,用异步清零使其变为三进制计数器。

QB、QC为输出,00,01,10;复位信号为11,译码器采用74139m,计数器的输出控制译码器的两个输入,具体电路图如下:仿真波形其中inst4译码器的使能端控制左循环的逻辑信号控制,inst5译码器额使能端控制右循环的的逻辑信号控制。

74139m高电平有效,所以要取反。

左边长亮电路、右边长亮电路、左边循环点亮电路、右边循环点亮电路采用逻辑门控制,a、b、c、d分别接对应的引脚。

具体电路图如下:左边循环点亮电路,右边循环点亮电路分别接两个对应的译码器使能端,左边长亮电路,右边长亮电路的输出分别接对应的左右三个灯。

蜂鸣器电路用1KHz和1Hz进过与门控制蜂鸣器实现0.5秒间隔响,具体电路图如下:3.2设计及工作原理分析根据以上的设计分析与功能描述,整个控制电路可有模式控制,分频模块,三进制计数器模块,译码器模块,逻辑电路模块4部分组成。

1KHz和1Hz由10MHz通过8个7490构成是分频后级联而来。

转弯时由计数器计数,其中1Hz 经过分频产生三种状态00、01、10。

分别控制译码器的两个输入。

实现循环点亮。

逻辑控制部分实现长亮和闪烁两种状态,每个灯由一个三输入或门控制。

蜂鸣器只用1KHz和1Hz通过与门控制。

按下每个功能键就可以实现功能。

第四章电路的组构与调试4.1 遇到的主要问题在电路的组构和调试过程中,遇到的主要问题有两个①转弯键按下时,左循环的一个LED灯长亮;②左循环和右循环的循环方向一致,都往右边循环;4.2 现象记录及原因分析转弯键按下时,左循环的一个LED灯长亮,原因是控制其闪烁的线连错;左循环和右循环的循环方向一致,都往右边循环,原因是引脚标号方向反了。

4.3 解决措施及效果从长亮的灯开始寻找错误,重新连线;将L11和L13顺序改变标引脚号,重新下载调试,达到预期效果,设计恢复正常。

4.4 功能的测试方法、步骤、设备、记录的数据首先分析综合后电路没有错误,标上引脚,下载到FPGA上,按下功能键。

SW1键按下时左边三个灯以1Hz频率左循环;SW2键按下时右边三个灯以1Hz 频率右循环;SW3键按下时六个LED灯长亮;SW4键按下时六个LED灯以1Hz 频率闪烁,同时蜂鸣器以0.5秒间隔响。

SW1和SW2键同时按下时,六个LED 长亮;SW1和SW3键同时按下时左边三个灯以1Hz频率左循环,右边三个灯长亮;SW2和SW3同时按下时右边三个灯以1Hz频率右循环,左边三个灯长亮。

第五章结束语5.1对设计题目的结论性意见及进一步改进的意向说明优点是:基本实现了汽车运行中需要控制的各种情况。

缺点是:行车时都是开关控制的,应该设计电路消除机械振动带来的影响;设计电路较复杂,是否可以考虑用3-8译码器实现;设计时间过短,无法改进电路,难以达到理想效果。

5.2 总结设计的收获与体会这次电路设计的完成是我们几个同学通过查阅资料和讨论,再加上老师的指导完成的,大家都花了很多的心思。

我们几个人有好几天都是呆在实验室的。

虽然不是我一个人设计出来的,但是我发现自己在参与其中的时候学到了很多,卡诺入的化简使的电路的输出更加清晰。

起初,如何分频选择是一个难题,在查阅了书本之后,我们试着级联7个十分频电路,构成一个输入,7个输出的电路。

成功后大家都很兴奋。

三进制计数器的设计是对上学期实验的巩固,译码器的加入让我对这个芯片的使用更加了解。

每一个模块的设计都是都让我对在讨论的过程中,我们一步步发现错误与不足,不断的改进。

总的来说,这次的试验比较成功,使我受益匪浅。

附图(电路图、电路总图)分图在前面已给出,另附总电路图参考文献基于FPGA的数字电路系统设计崔葛瑾西安电子科技大学出版社数字电路及系统设计崔葛瑾高等教育出版社。

相关文档
最新文档