2018EDA综合实验考试题目
EDA考试题目及答案
EDA考试题目及答案一、单项选择题(每题2分,共10题)1. EDA技术中,用于描述数字电路的硬件描述语言是:A. VHDLB. VerilogC. C语言D. Python答案:A2. 在VHDL中,用于定义信号的关键字是:A. variableB. constantC. signalD. type答案:C3. 下列哪个不是Verilog中的测试平台(testbench)组件?A. initial块B. always块C. moduleD. function答案:D4. 在EDA设计中,用于模拟电路行为的软件工具是:A. 仿真器B. 编译器C. 综合器D. 布局器答案:A5. 以下哪个选项不是EDA工具的主要功能?A. 电路设计B. 电路仿真C. 电路测试D. 电路维修答案:D6. 在VHDL中,用于实现组合逻辑的构造块是:A. processB. if语句C. case语句D. all of the above答案:D7. Verilog中,用于描述时序逻辑的关键字是:A. alwaysB. initialC. moduleD. assign答案:A8. 在EDA设计流程中,电路综合通常发生在哪个阶段之后?A. 电路设计B. 电路仿真C. 电路测试D. 电路验证答案:B9. 下列哪个不是VHDL中的并发语句?A. ifB. caseC. loopD. procedure答案:D10. 在Verilog中,用于描述模块间连接的关键字是:A. inputB. outputC. wireD. module答案:C二、多项选择题(每题3分,共5题)1. EDA技术可以应用于以下哪些领域?A. 集成电路设计B. 软件工程C. 电子系统设计D. 机械工程答案:A, C2. VHDL中的哪些构造可以用来描述时序逻辑?A. processB. ifC. whileD. after答案:A, D3. 在Verilog中,哪些关键字用于定义模块的端口?A. inputB. outputC. inoutD. module答案:A, B, C4. EDA工具在设计流程中可以提供哪些辅助功能?A. 设计验证B. 设计优化C. 设计转换D. 设计维护答案:A, B, C5. 在EDA设计中,哪些因素会影响电路的性能?A. 电路复杂度B. 电源电压C. 温度变化D. 材料特性答案:A, B, C, D三、简答题(每题5分,共2题)1. 描述一下在EDA设计中,为什么需要进行电路仿真?答案:在EDA设计中,电路仿真是为了在实际制造电路之前,通过软件模拟电路的行为和性能。
EDA考试复习题目全集(1)
《 EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是A。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
eda考试题及答案
eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中,以下哪个不是数字信号处理的步骤?A. 信号采集B. 信号放大C. 信号滤波D. 信号转换答案:D2. 在EDA中,以下哪个工具不是用于硬件描述语言的?A. VerilogB. VHDLC. MATLABD. SystemVerilog答案:C3. 以下哪个不是FPGA的配置方式?A. 主从模式B. JTAG模式C. 串行模式D. 并行模式答案:D4. 在EDA技术中,以下哪个不是逻辑门?A. 与门B. 或门C. 非门D. 异或门答案:D5. 以下哪个是EDA软件中用于时序分析的工具?A. 波形仿真B. 逻辑仿真C. 时序分析器D. 功能仿真答案:C二、填空题(每题2分,共10分)1. EDA技术的核心是______,它用于设计和验证电子系统。
答案:硬件描述语言2. 在EDA设计流程中,______是将硬件描述语言转换成逻辑电路图的过程。
答案:综合3. FPGA的全称是______,它是一种可编程的逻辑器件。
答案:现场可编程门阵列4. 在EDA中,______是一种用于模拟电路行为的工具,它可以帮助设计者验证电路设计的正确性。
答案:仿真5. 在EDA中,______是一种用于优化电路布局和布线的技术,以减少电路的延迟和功耗。
答案:布局布线三、简答题(每题10分,共20分)1. 简述EDA技术在现代电子设计中的重要性。
答案:EDA技术在现代电子设计中至关重要,因为它提供了一种高效、自动化的方式来设计、模拟和验证复杂的电子系统。
通过使用EDA工具,设计师可以快速迭代设计,减少错误,缩短产品上市时间,并提高电路的性能和可靠性。
2. 描述在EDA设计流程中,仿真测试的主要目的是什么。
答案:仿真测试的主要目的是在实际硬件实现之前验证电路设计的功能正确性和性能指标。
通过仿真,设计师可以检测和修复设计中的错误,优化电路性能,并预测电路在不同工作条件下的行为,从而确保最终产品能够满足设计规格和性能要求。
EDA考试题目+答案
中变量与信号的主要区别一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。
二、信号是一个全局量,有延时,进程只对信号敏感,不对变量敏感持与传递的区域大小上。
(1)如:信号可以设置传输延迟量,而变量则不能;(2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。
(3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。
、FPGA、EDA、ISP的含义ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商3.常用的库的名称(IEEE STD WORK VITAL)5.进程语句的特点(1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。
(2)进程内部的顺序语句具有顺序与并行双重性。
顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。
进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。
这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS 语句在综合后所对应的硬件逻辑行为也同样具有顺序性。
VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。
(3)进程有启动与挂起两种状态。
(4)进程与进程,或其它并行语句之间通过信号交流。
(5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。
推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。
6.实体定义时端口方向OUT与BUFFER有何不同OUT:输出端口。
定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。
福建师范大学18年3月课程考试《EDA技术》作业考核试题标准答案
endmodule
2.详细分析下面程序功能:
module count(out,data, load, reset,clk) ;
input load,clk,reset;
input[7:0] data;
output[7:0] out;
reg[7:0] out;
always @ (posedge clk)
begin
if ( !reset) out<=8'h00 ;
else if (load) out<=data;
else out<=out+1 ;
end
endmoБайду номын сангаасule
第四题:设计题(每题20分,共20分)
用Verilog HDL设计一个74138的译码器电路。
3.说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?
4.阻塞赋值和非阻塞赋值有什么本质的区别?
第三题:程序分析题(每题15分,共30分)
1.分析程序并画出逻辑电路图及逻辑表达式:
module AOI(A,B,C,D,F);
input A,B,C,D;
output F;
wire A,B,C,D,F;
4.有三种端口类型,分别是、_______________和。
5.输入和双向端口不能声明为型。
6.在常量表达示中,二进制是用字母表示,八进制是用字母表示,十六进制是用字母表示。
7.宽度为1位的变量称为,如果在变量声明中没有指定位宽,则默认为。线宽大于1位的变量(包括net型和variable型)称为。
宽度为1位的变量称为如果在变量声明中没有指定位宽则默认为
eda考试试卷
eda考试试卷一、单项选择题(每题2分,共20分)1. EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog2. 在VHDL中,以下哪个关键字用于定义信号的初始值?A. signalB. variableC. constantD. file3. 在Verilog中,以下哪个运算符用于按位取反?A. ~B. !C. ^D. |4. EDA工具中,用于模拟数字电路行为的软件是?A. ModelSimB. QuartusC. Xilinx ISED. MATLAB5. 在数字电路设计中,以下哪个不是触发器?A. SR触发器B. JK触发器C. D触发器D. AND门6. 在VHDL中,以下哪个语句用于创建进程?A. beginB. ifC. loopD. for7. 在Verilog中,以下哪个关键字用于定义模块?A. moduleB. functionC. taskD. begin8. 在数字电路设计中,以下哪个不是组合逻辑?A. 编码器B. 计数器C. 译码器D. 多路选择器9. 在EDA技术中,以下哪个不是测试向量?A. 静态测试向量B. 动态测试向量C. 随机测试向量D. 固定测试向量10. 在数字电路设计中,以下哪个不是时序逻辑?A. 计数器B. 存储器C. 译码器D. 序列检测器二、填空题(每空1分,共20分)1. 在VHDL中,用于定义信号的关键字是________。
2. Verilog中的________运算符用于实现逻辑与操作。
3. EDA工具中的________用于生成电路的布局布线。
4. 在数字电路设计中,________是最基本的存储单元。
5. VHDL中的________语句用于定义条件执行。
6. 在Verilog中,________关键字用于定义始终块。
7. 在数字电路设计中,________是用于存储二进制信息的电路。
EDA考试复习题目全集及部分答案
《EDA技术与项目训练》选择题1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD.2a10. 不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_111. 不符合1987VHDL标准的标识符是 D 。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置13. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置14. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中15. 变量和信号的描述正确的是 A 。
EDA技术试验问答题答案(基本包含)
EDA技术试验问答题答案(基本包含)第一章1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA/CPLD 在ASIC设计中有什么用途?答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。
FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA 和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。
FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。
1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
2018EDA综合实验考试题目
EDA技术实验考试题目1、用结构的描述方法设计一个多用计数器,可以选择为带计数使能、同步复位、带进位输出的增1的60进制计数器或者一百进制计数器,结果由七段数码管显示。
2、用结构的描述方法设计一个多用计数器,可以选择为带计数使能、异步复位、同步装载的可逆七位二进制计数器或者八位二进制计数器,结果山七段数码管显示。
3、设计一个正负脉宽可控的16分频的分频器。
脉宽比由七段数码管显示。
4、根据需要设计一个多用分频器,可以控制实现四种分频(正负脉宽相等)形式:第一种:4分频、第二种:8分频、第三种:10分频、第四种:16分频。
曲七段数码管显示显示当前分频系数。
5、设计一个学号显示电路,可以根据序号显示八位同学的学号(八位数字),学号由8位7段LED数码管输出显示。
6、利用状态机设计一个彩灯控制器,彩灯共有16个,每次顺序点亮相邻的四个彩灯,且保持时间越来越久,如此循环执行。
由七段数码管显示亮灯的序号。
7、设计一个9人表决电路,参加表决者为9人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮,表决不通过则红指示灯亮。
山七段数码管显示赞成人数。
8、有16个开关,编号为0到15,编号0的优先级最高。
当某一个拨码开关为1时由数码管显示其编号(可用16进制数显示,亦可用十进制显示)9、利用状态机设计一个全自动洗衣机水位控制器。
要求:当水位超过某一上限值时,停止加水,启动洗衣机;当水位低于某一下限值时,加水,停止洗衣机;否则启动洗衣机,停止加水。
山七段数码管显示显示当前状态(用简易字母表示)。
10、根据真值表设计一位全加器,然后用结构的描述方法设计一个4位加法器。
结果由七段数码管显示。
11、设计6位二进制数到两位BCD (8421码)的转换器。
结果由共阴极数码管显示。
12、利用状态机设计一个跑马灯控制器。
一共有8个彩灯,编号为LED0~LED7,点亮方式为:每次点亮临近两个,且每个状态保持时间越来越久(比如01号亮维持10个时钟周期,23号亮维持15个时钟周期,45号亮维持20个时钟周期,67号亮维持25个时钟周期,如此循环)。
EDA考试题题库及答案
EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。
2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。
3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。
5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。
7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。
8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。
9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。
11.在VHDL中,用语句(D)表示clock的下降沿。
A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。
2017-2018-2学期EDA期中考试试卷含答案
适用专业年级:15 电子信息工程、15 电子科学与技术 班级 姓名 学号
装
题号 得分
一二三四五六七八
九
十
总分
的是:_______D A.PROCESS 为一无限循环语句 B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程 D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成
(1)答:第 9 行, 原因:状态机数据类型声明错误,关键字应为 TYPE (2)答:第 32 行,原因:case 语句缺少 when others 处理异常状态情况 2.修改相应行的程序(如果是缺少语句请指出大致的行数) : 错误 1 错误 2 行号: 9 行号: 32 程序改为:SIGNAL 改为 TYPE 程序改为:之前添加一句 when others => c_st <= st0;
共 页 第4页
五、VHDL 程序设计 50 %
1.设计一个 3-8 译码器 10 分 输入端口: DIN EN 输出端口: DOUT 解: 输入端,位宽为 3 位 译码器输出使能,高电平有效 译码器输出,低电平有效
得分
2.设计一个分频器,分频数为 16 分频,要求输出波形的高低电平维持时间相同。 10 分
得分
-----------------------------------1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35
1. ASIC 设计中可以采用半定制法,按逻辑实现方式的不同,半定制法可再分为 自顶向下 的方法已经是 EDA 技术的首选设计方法,是 ASIC 或 FPGA 开发的主要设 两种类型。
eda考试试题和答案
eda考试试题和答案**EDA考试试题和答案**一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子设计自动化D. 电子文档自动化答案:A2. 在EDA软件中,用于绘制电路原理图的软件模块通常被称为什么?A. PCB LayoutB. Schematic CaptureC. SimulationD. FPGA Programming答案:B3. 下列哪个不是EDA软件的主要功能?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械设计答案:D4. 在EDA技术中,PCB指的是什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 处理器控制板答案:A5. 在EDA软件中,用于进行电路仿真分析的模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:C6. EDA技术中,FPGA代表什么?A. 现场可编程逻辑阵列B. 固定逻辑阵列C. 现场可编程门阵列D. 固定可编程逻辑阵列答案:C7. 在EDA技术中,以下哪个不是PCB设计的基本步骤?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械加工答案:D8. 在EDA软件中,用于生成PCB版图的软件模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:B9. 在EDA技术中,以下哪个不是电路仿真分析的常用软件?A. PSpiceB. MultisimC. AutoCADD. LTspice答案:C10. EDA技术中,以下哪个不是版图设计中常用的文件格式?A. .dxfB. .gdsC. .pdfD. .drill答案:C二、多项选择题(每题3分,共15分)11. EDA技术中,以下哪些是电路仿真分析时需要考虑的因素?A. 元件模型B. 电源电压C. 机械结构D. 温度变化答案:A, B, D12. 在EDA软件中,以下哪些是版图设计时需要考虑的因素?A. 元件布局B. 走线宽度C. 电源管理D. 信号完整性答案:A, B, D13. EDA技术中,以下哪些是FPGA设计时需要考虑的因素?A. 逻辑门数量B. 时钟频率C. 电源管理D. 散热设计答案:A, B, C, D14. 在EDA技术中,以下哪些是PCB设计时需要考虑的因素?A. 层数B. 板厚C. 元件封装D. 机械加工答案:A, B, C15. EDA技术中,以下哪些是电路设计时需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械兼容性答案:A, B, C三、判断题(每题2分,共10分)16. EDA技术可以完全替代传统的手工电路设计方法。
eda考试题库及答案
eda考试题库及答案1. EDA技术中的"EDA"代表什么?A. 电子设计自动化B. 电子数据交换C. 电子文档分析D. 电子设备应用答案:A2. 在EDA设计中,以下哪个不是设计流程的基本步骤?A. 原理图输入B. 电路仿真C. 版图设计D. 电路测试答案:D3. 以下哪个软件不是用于EDA设计的?A. CadenceB. Altium DesignerC. MATLABD. Adobe Photoshop答案:D4. 在EDA设计中,PCB代表什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 程序控制板答案:A5. 在EDA设计中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 混合数字语言D. 混合数据语言答案:B6. 在EDA设计中,FPGA和ASIC的主要区别是什么?A. FPGA是可编程的,ASIC是固定的B. FPGA是固定的,ASIC是可编程的C. FPGA和ASIC都是可编程的D. FPGA和ASIC都是固定的答案:A7. 在EDA设计中,以下哪个不是版图设计中常用的术语?A. 布局B. 布线C. 封装D. 编译答案:D8. EDA技术在以下哪个领域应用最广泛?A. 软件开发B. 电路设计C. 数据分析D. 网络通信答案:B9. 在EDA设计中,以下哪个不是电路仿真的目的?A. 验证电路设计的正确性B. 预测电路的性能C. 优化电路设计D. 生产电路板答案:D10. EDA技术的发展对以下哪个行业影响最大?A. 汽车制造B. 电子制造C. 食品加工D. 纺织业答案:B结束语:以上是EDA考试题库及答案,希望对您的学习和考试有所帮助。
EDA试题——精选推荐
EDA试题⼀、填空(每空1 分,共20 分)1、CPLD是complex programmable logic devices,FPGA是field programmable gatearray。
2、常⽤的硬件描述语⾔有VHDL 、 verilog 、 ABEL。
3、VHDL语句包括顺序语句、并⾏语句。
4、设计过程中的仿真有⾏为仿真、功能仿真、时序仿真三种。
5、状态机由时序逻辑、组合逻辑两个进程构成。
6、VHDL 中,字符的表⽰是‘’,字符串的表⽰是“”。
7、数字系统主要包括数据处理⼦系统、控制⼦系统两部分。
8、VHDL 中有算术操作符、逻辑操作符、关系操作符、符号操作符四类操作符。
⼆、名词解释(每题5 分,共20 分)1、EDA 技术:P12、逻辑综合:P103、⽶⽴机:P2214、⾏为描述:P190三、简答题(每题2 分,共20 分)1、简述EDA 技术的特点,并列出 EDA ⼯程的设计流程。
1)、⽤软件的⽅式设计硬件;2)、⽤软件⽅式设计的系统到硬件系统的转换由有关的开发软件⾃动完成;3)、设计过程中可⽤有关软件进⾏各种仿真;4)、系统可现场编程,在线升级;5)、整个系统可集成在⼀个芯⽚上,体积⼩、功耗低、可靠性⾼;6)、从以前的“组合设计”到真正的“⾃由设计“;7)、设计的移植性好,效率⾼;8)、⾮常适合分⼯设计,团体协作。
2、简述顺序语句和并⾏语句的区别,信号赋值和变量赋值的区别顺序语句:P144;并⾏语句:P165信号赋值和变量赋值的区别:P144四、综合题(每题10 分,共40 分)1、⽤VHDL 描述⼀个3-8 线译码器,电路要求如下:输⼊:a、b、c ,输出:Y(7 DOWNTO 0),由输⼊信号编码的不同,分别在对应的输出位上输出为⾼电平,其余为0。
P1942、⽤VHDL 设计⼀个时钟使能的⼗进制计数器。
输⼊:CLK、CLR、ENA,输出:计数CQ(2 DOWNTO 0),进位:COP2053、设计⼀个全加器电路,设计⽅式不限。
eda技术考试题及答案
eda技术考试题及答案一、选择题(每题2分,共20分)1. EDA技术中的"E"代表什么?A. ElectronicsB. EducationC. EngineeringD. Economy答案:A2. 在EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. HTMLD. SystemVerilog答案:C3. EDA工具主要用于什么领域?A. 软件开发B. 硬件设计C. 数据分析D. 网络管理答案:B4. 以下哪个不是EDA工具的功能?A. 逻辑综合B. 时序分析C. 代码调试D. 性能优化答案:C5. 在EDA技术中,FPGA代表什么?A. Field Programmable Gate ArrayB. Fixed Programmable Gate ArrayC. Flexible Programmable Gate ArrayD. Functional Programmable Gate Array答案:A6. 以下哪个是EDA技术中用于验证的工具?A. MATLABB. ModelSimC. AutoCADD. Photoshop答案:B7. EDA技术中的"A"代表什么?A. AnalysisB. AlgorithmC. ApplicationD. Architecture答案:A8. 在EDA技术中,以下哪个不是仿真工具?A. Xilinx ISEB. Cadence VirtuosoC. Synopsys VCSD. Mentor Graphics ModelSim答案:A9. EDA技术中,以下哪个是用于布局和布线的软件?A. Quartus IIB. Altium DesignerC. MATLABD. Eclipse答案:B10. 以下哪个不是EDA技术中的测试方法?A. 功能仿真B. 时序仿真C. 静态时序分析D. 动态时序分析答案:D二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计者在不实际制造硬件的情况下测试和验证设计。
EDA考试试卷
EDA试卷一、选择题:1.下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入;B. 适配;C. 时序仿真;D. 编程下载;E. 硬件测试;F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A →_________ →_________ →_________ →_________ →E2.PLD的可编程主要基于A. LUT结构或者B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于___________CPLD 基于____________3.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。
对于A. FPGA B. CPLD 两类器件:一位热码状态机编码方式适合于_________ 器件;顺序编码状态机编码方式适合于_________ 器件;4.下列优化方法中那两种是速度优化方法:______________、______A. 资源共享B. 流水线C. 串行化D. 关键路径优化单项选择题:5.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_________是错误的。
A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。
D.综合是纯软件的转换过程,与器件硬件结构无关;6.不完整的IF语句,其综合结果可实现________。
A. 时序电路B. 双向控制电路C. 条件相或的逻辑电路D. 三态控制电路7.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。
A. idata <= "00001111";B. idata <= b"0000_1111";C. idata <= X"AB";D. idata <= 16"01";8.在VHDL语言中,下列对时钟边沿检测描述中,错误的是_______。
2018年1月电子电路EDA答案.docx
-、单项选择题1.B2.B3.B4.D5.A6.A7.C8.A9.A 10.B11.B 12.D 13.A 14.C 15. A二、名词解释题16. 电子设计自动化(Electronics Design Automation )17. 现场可编程门阵列(Field — Programmable Gate Array )18. 知识产权核(intellectual property core )三、判断改错题19. 错。
查找表结构20. 对。
21•对。
22•错。
仿真工具四、简答题23•主动配置由可编程器件引导配置过程,从动配置由外部处理器控制配置过程。
24•原理图输入方式、状态图输入方式、波形输入方式、程序设计法、IP模块使用25•“自顶向下”的设计方法首先从系统设计入手,在顶层进行功能划分和结构设计,在系统级采用仿真手段验证设计的正确性,然后再逐级设计底层的结构,用硬件描述语言对高层次的系统行为进行电路描述,最后再用逻辑综合优化工具生成具体的门级逻辑电路网表,其对应的物理实现级可以是印刷电路板或专用集成电路。
26. (1)设计输入:行为或结构描述(文本输入、图形化输入)(2) 代码调试:语法检查(3) 功能仿真:验证逻辑模型(4) 逻辑综合:把设计翻译成原始的目标工艺,最优化,合适的面积要求和性能要求(5) 布局布线:映射设计到目标工艺里指定的位置,指定的布线资源应被应用(6) 时序仿真:验证设计一旦编程或配置将能在目标工艺里工作(使用时间延迟)(7) 时序分析:验证符合性能规范(8) 版图设计:验证版图设计,在板编程和测试器件27•软IP核设计灵活,可根据具体的需要对软IP核的代码进行改动,或软IP核本身提供许多可以配置的参数,在应用时比较方便。
缺陷是软核的关键路径的时许性能五保证,最终性能主要取决于使用者采用的综合、布局布线和实现技术,设计完成后需要重新对完成设计的芯片进行功能与时序验证。
软IP核的设计工作量较大,而且设计时间较长。
2018年4月电子电路EDA答案
一、单项选择题1.B2.D3.C4.D5.A6.C7.A8.B9.C 10.D11.A 12.A 13.B 14.D 15.B二、名词解释题16.电子设计自动化(Electronics Design Automation)17.硬件描述语言(Hardware Description Language )18.知识产权核(intellectual property core)三、判断改错题19.对。
20.对。
21.错。
交换“硬IP Core”和“软IP Core”的位置22.错。
将“功能仿真”改为“时序仿真”四、简答题23. 传统的设计方法都是自底向上的,即首先确定可用的元器件,然后根据这些器件进行逻辑设计,完成各模块后进行连接,并形成系统,最后经调试、测量看整个系统是否达到规定的性能指标。
这种设计方法常常受到设计者的经验及市场器件情况等因素的限制,且没有明显的规律可循。
另外,系统测试在系统硬件完成后进行,如果发现系统设计需要修改,则需要重新制作电路板,重新购买器件,重新调试与修改设计。
整个修改过程需要花费大量的时间与经费。
再者,传统的电路设计方式是原理图设计方式,而原理图设计的电路对于复杂系统的设计、阅读、交流、修改、更新和保存都十分困难,不利于复杂系统的任务分解与综合。
基于EDA技术的所谓“自顶向下”的设计方法主要采用并行工程和“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等。
该设计方法首先从系统设计入手,在顶层进行功能划分和结构设计,由于采用高级语言描述,因此能在系统级采用仿真手段验证设计的正确性,然后再逐级设计底层的结构,用VHDL、Verilog HDL等硬件描述语言对高层次的系统行为进行电路描述,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。
“自顶向下”设计方法的特点表现在以下几个方面:(1) 基于可编程逻辑器件PLD和EDA开发工具支撑。
EDA考试题目+答案
EDA考试题目+答案
这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS 语句在综合后所对应的硬件逻辑行为也同样具有顺序性。
VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。
(3)进程有启动与挂起两种状态。
(4)进程与进程,或其它并行语句之间通过信号交流。
(5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。
推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。
6.实体定义时端口方向OUT与BUFFER有何不同?
OUT:输出端口。
定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。
BUFFER:缓冲端口。
其功能与INOUT类似,
区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。
如:在计数器的设计中,将计数器输出的计数信号回读,作为下一次计数的初值。
与OUT模式相比,BUFFER回读信号不是由外部输入的,而是由内部产生、向外输出信号。
即OUT结构体内部不能再使用,BUFFER结构体内部可再使用。
4.什么是函数的重载?举例说明。
编程:
编程实现下图所示的控制时序,K为输入信号;处于状态St2时输出信号yout=’1’,其他状态下yout=’0’。
(此题也会反过来考,给出程序要求画出对应时序图)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA技术实验考试题目
1、用结构的描述方法设计一个多用计数器,可以选择为带计数使能、同步复位、带进位输出的
增1的60进制计数器或者一百进制计数器,结果由七段数码管显示。
2、用结构的描述方法设计一个多用计数器,可以选择为带计数使能、异步复位、同步装载的可
逆七位二进制计数器或者八位二进制计数器,结果由七段数码管显示。
3、设计一个正负脉宽可控的16分频的分频器。
脉宽比由七段数码管显示。
4、根据需要设计一个多用分频器,可以控制实现四种分频(正负脉宽相等)形式:第一种:4分
频、第二种:8分频、第三种:10分频、第四种:16分频。
由七段数码管显示显示当前分频系数。
5、设计一个学号显示电路,可以根据序号显示八位同学的学号(八位数字),学号由8位7段LED
数码管输出显示。
6、利用状态机设计一个彩灯控制器,彩灯共有16个,每次顺序点亮相邻的四个彩灯,且保持时
间越来越久,如此循环执行。
由七段数码管显示亮灯的序号。
7、设计一个9人表决电路,参加表决者为9人,同意为1,不同意为0,同意者过半则表决通过,
绿指示灯亮,表决不通过则红指示灯亮。
由七段数码管显示赞成人数。
8、有16个开关,编号为0到15,编号0的优先级最高。
当某一个拨码开关为1时由数码管显示
其编号(可用16进制数显示,亦可用十进制显示)
9、利用状态机设计一个全自动洗衣机水位控制器。
要求:当水位超过某一上限值时,停止加水,
启动洗衣机;当水位低于某一下限值时,加水,停止洗衣机;否则启动洗衣机,停止加水。
由七段数码管显示显示当前状态(用简易字母表示)。
10、根据真值表设计一位全加器,然后用结构的描述方法设计一个4位加法器。
结果由七段数码
管显示。
11、设计6位二进制数到两位BCD(8421码)的转换器。
结果由共阴极数码管显示。
12、利用状态机设计一个跑马灯控制器。
一共有8个彩灯,编号为LED0 ~ LED7,点亮方式为:
每次点亮临近两个,且每个状态保持时间越来越久(比如01号亮维持10个时钟周期,23号亮维持15个时钟周期,45号亮维持20个时钟周期,67号亮维持25个时钟周期,如此循环)。
由七段数码管显示亮灯的序号。
13、有四路数据输入,每路数据为4位二进制数,根据不同的控制信号,输出相应的输入数据。
同时用数码管显示输出数据的路号。
14、利用状态机设计一个电磁炉控制器:火力控制有三档:煮汤、火锅、煎炒;每种操作都可以
设定时间,当时间到自动停火。
由七段数码管显示显示当前状态(用简易字母表示)。
15、用状态机的设计方法设计一个自动售饮料的逻辑电路。
它的投币口每次只能投入一枚五角或
一元的硬币;投入一元五角的硬币后机器自动给出一杯饮料;投入两元(两枚一元)的硬币后,在给出饮料的同时找回一枚五角的硬币。
由七段数码管显示显示当前状态(用简易字母表示)。
16、用结构的描述方法设计一个跑表,计时范围为59.99秒,有计时开始和停止计时控制,复位
控制可以对所有计时进行异步复位。
计时结果由四位共阴极七段数码管显示。
17、1位十进制数加法器(有进位位):输入为两个1位十进制数A和B,以BCD码的形式输入,
CI为低位的进位信号,输出为BCD码表示的十进制数S,CO是向高位的进位信号。
由七段数码管显示结果
18、4bit二进制数减法器:输入为两个4 bit二进制数A和B,输出由七段数码管显示。
19、血型配对指示器:供血血型和受血血型分别有A、B、AB、O四种。
当供血血型和受血血型
符合要求时,T指示灯亮,否则F指示灯亮。
由七段数码管显示血型(用简易字母表示)。
20、亲子判定器:根据亲子血型关系规则,当输入的亲、子血型符合规则时,指示灯亮。
由七段
数码管显示血型(用简易字母表示)。
21、用结构的描述方法设计一个可变模计数器,控制信号MA和MB为00、01、10、11时计数
器的模分别为6,10,60,100。
(数码管显示模)
22、模为7的计数器,计数步长由控制信号A、B、C控制:CBA=001时,步长为1,即:
0-1-2-3-4-5-6-0-1顺序计数。
CBA=010时,步长为2,即:0-2-4-6-1-3-5-0-2顺序计数。
以此类推。
CBA=110时,步长为6,计数规律为:0-6-5-4-3-2-1-0-6顺序计数。
(数码管显示步长)23、模为16的计数器,控制信号为MA和MB。
MA和MB为00时不计数,01时加法计数器,
10时减法,11时置数功能。
(数码管显示当前状态,用简易字母表示)
24、多功能寄存器(8位):由选择信号和控制信号进行控制。
当选择信号S=1时,控制信号C=1
时为加1计数器,C=0时为减1计数器;当选择信号S=0时将计数值循环移位:C=1时时右移,C=0时左移。
(发光二极管显示,也可数码管显示当前状态,用简易字母表示)
25、采用层次化的设计方法设计一个输出可选择的多路分频器,每路分频器输出信号的正负脉宽
比为n:1(n=分频值-1)。
其中可选分频器分频值为20分频、16分频、10分频、5分频、3分频。
由七段数码管显示显示当前分频系数。
26、采用层次化的设计方法设计一个输出可选择的多路分频器,每路分频器输出信号的正负脉宽
比可控。
其中可选分频器分频值为20分频、16分频、10分频、8分频。
由七段数码管显示当前分频系数。
27、采用层次化的设计方法设计一个输出可选择的多路分频器,每路分频器输出信号的正负脉宽
比可控。
其中可选分频器分频值为16分频、10分频。
由七段数码管显示当前正负脉宽比。
28、设计一个跑马灯控制器。
一共有8个彩灯,编号为LED0 ~ LED7,点亮方式为:先从左往右
顺序点亮,然后从右往左,如此循环往复。
由七段数码管显示当前亮灯号。
29、一个电子系统中需要三种时钟,分别是:1000HZ 、100Hz 、50Hz ,系统输入时钟为100KHz 。
试用VHDL 描述该时钟发生器。
由七段数码管显示当前信号频率。
30、用状态机设计方法设计一个汽车尾灯控制器。
该控制器共有4种状态:状态A 代表正常直行
或静止;状态B 代表左转弯;状态C 代表右转弯;状态D 代表刹车;三个控制信号:LH 左转弯控制;RH 右转弯控制;JWH 刹车控制。
两个输出控制:LD 点亮左尾灯控制输出;RD 点亮右尾灯控制输出,并在数码管上显示当前状态。
(用简易字母表示)
其状态转移图如下:
LH=’0’ RH=’0’ ’ ’ ’0’
31、用结构的描述方法设计一个时分秒电路,计时范围为23:59:59,有计时开始和停止计时控制,
复位控制可以对所有计时进行异步复位。
计时结果由六位共阴极七段数码管显示。
32、设计一个二选一选择分频器,底层要求为通用奇分频器(占空比为1:n-1)和通用耦分频(占
空比1:1)。
33、设计一个跑表,要求能够计时59.99秒,对所有位都能复位,采用层次化设计,底层采用通
用计数器。
34、用状态机的设计方法设计空调机控制器。
一个时钟输入信号clk ,有两个温度控制输入信号:
tmp_low, 温度低 tmp_low=‘1’;tmp_high,温度高tmp_hign=‘1’。
两个温度调节控制输出信号: cool, 制冷cool=‘1’; heat, 加热heat=‘1’。
其状态转移图如图所示,要求用两个PROCESS 语句完成。
其状态转移图如下:
Tmp_low=‘0’
空调控制器状态转移图
35、用状态机的设计方法设计空调机控制器。
一个时钟输入信号clk ,有两个温度控制输入信号:
tmp_low, 温度低 tmp_low=‘1’;tmp_high,温度高tmp_hign=‘1’。
两个温度调节控制输出信号:
cool, 制冷cool=‘1’; heat, 加热heat=‘1’。
其状态转移图如图所示,要求用三个PROCESS 语句完成。
其状态转移图如下:
Tmp_low=‘0’
空调控制器状态转移图
36、 采用结构化设计方法,设计一位全加器,假设半加器half_adder 已经存在,一位全加器结构图如下:
37、采用结构化设计方法,设计一位全减器,假设半减器h_sub 已经存在,一位全减器结构图如下:
38、设计一个四选一选择器,要求输入端sel 控制选择输出,输入端a ,b ,c ,d 为标准逻辑位,输出端为q 。
用七段数码管显示输出的是哪路输出。
39、
设计一个二选一选择器,要求输入端sel 控制选择输出,输入端a,b 为长度为2的标准逻辑矢量,输出端为q 长度为2的标准逻辑矢量。
用七段数码管显示输出的是哪路输出。
40、 设计一个四选一选择器,要求输入端sel 控制选择输出,输入端a,b,c 为长度为2的标准逻辑矢量,输出端为q 长度为2的标准逻辑矢量。
用七段数码管显示输出的是哪路输出。
x y 一位全减器连接图。