基于FPGA的地铁自动售票机系统设计
EDA课程设计--基于FPGA的自动售票机设计
湖南工程学院
课程设计
课程名称EDA课程设计
课题名称基于FPGA的自动售票机设计
专业电子科学与技术
班级
学号
姓名
指导教师李延平
2014 年12 月19 日
湖南工程学院
课程设计任务书
课程名称EDA课程设计
课题基于FPGA的自动售票机设计
专业班级电子科学技术
学生姓名
学号
指导老师李延平
审批
任务书下达日期2014 年12 月8 日任务完成日期2013 年12 月19 日
图1自动售邮票机系统框图
电气信息学院课程设计评分表
指导教师签名:________________
日期:________________
注:①表中标*号项目是硬件整理或软件编程类课题必填内容;
②此表装订在课程设计说明书的最后一页。
课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。
[文档可能无法思考全面,请浏览后下载,另外祝您生活愉快,工作顺利,万事如意!]。
基于FPGA的自动售货机控制系统的设计
基于FPGA的自动售货机控制系统的设计摘要本文旨在设计一种基于FPGA(现场可编程门阵列)的自动售货机控制系统。
该系统利用FPGA芯片的可编程特性和高性能,能够实现灵活、可靠的自动售货机操作。
本文将详细介绍系统的设计原理、硬件架构和关键功能,并提供实施建议和性能评估。
引言自动售货机已经成为现代社会的常见设备,用于快速、方便地购买商品。
然而,传统的自动售货机控制系统常常受限于固定的硬件结构和有限的功能。
为了提升自动售货机的灵活性和性能,本文提出基于FPGA的控制系统设计。
设计原理基于FPGA的自动售货机控制系统利用FPGA芯片的可编程特性,通过组合逻辑和时序逻辑实现自动售货机的各项功能。
系统将通过外部接口与售货机的硬件部分进行通信,并处理用户输入、商品库存、货币交易等关键操作。
通过FPGA的灵活配置和高速性能,该控制系统能够实现实时响应、精确计算和可靠交互。
硬件架构系统的硬件架构主要包括FPGA芯片、外部接口电路、按钮和显示屏。
FPGA芯片作为核心处理单元,负责运行控制程序和管理系统资源。
外部接口电路用于与售货机硬件部分进行数据传输和控制信号的交互。
按钮和显示屏提供用户与自动售货机进行交互的接口。
关键功能基于FPGA的自动售货机控制系统具备以下关键功能:1. 商品选择和购买:用户可以通过按钮选择所需商品,并进行购买操作。
系统将根据用户选择,实时更新商品库存和计算金额。
2. 货币交易:系统支持不同货币单位的识别和计算。
用户可以通过投币或刷卡等方式完成支付,系统将验证货币的合法性并进行交易处理。
3. 商品库存管理:系统能够实时监测商品库存,并在库存不足时提醒用户或停止销售。
管理员可以通过特定操作进行库存的添加和更新。
4. 故障监测和报警:系统能够监测售货机硬件部分的运行状态,并在故障发生时及时报警或进行相应处理。
实施建议实施基于FPGA的自动售货机控制系统时,可以考虑以下建议:1. 确定系统需求,并合理规划FPGA芯片和外部接口电路的选型和布局。
基于FPGA的自动售货机-课程设计
武汉理工大学《电子线路EDA》课程设计说明书绪论自动售货机(Vending Machine,VEM)是能根据投入的钱币自动付货的机器。
自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。
是一种全新的商业零售形式,又被称为24小时营业的微型超市。
能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。
它能够在无人操作的情况下根据程序自动地销售商品。
自动售货机不受工作时间及地点限制的特点,使其实现了一种提高营业额的同时又降低了成本的销售模式。
进入21世纪之后,自动售货机的发展进一步加快,智能化的自动售货机正在逐步进入普通民众的生活之中,在未来,自动售货机的发展将会更加迅速,更加智能的自动售货机会让人们的生活更加便利。
VHDL主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
因此,此次运用VHDL语言来实现一个简易的自动售货机是一个很有意义并且非常有乐趣的课题!关键词:自动售货机 VHDL1 课程设计实验要求1.1 初始条件设计一个自动售货机控制器,具有投币和选择商品等功能。
可出售四种商品,分别为纯净水(2元)、可乐(4.5元)、牛奶(5元)、果汁(5.5元)。
在购买过程中,采取投币方式,只能投入5元、10元或20元三种规格的纸币,且一次只能投入一张纸币。
1.2 要求完成的主要任务1.顾客通过按键选择某种商品后,由数码管显示该商品价格。
顾客投币过程中,数码管显示投币额。
基于fpga地铁售票系统课程设计
基于fpga地铁售票系统课程设计一、教学目标本课程旨在通过学习FPGA地铁售票系统的设计与实现,使学生掌握数字电路设计的基本原理和方法,熟悉FPGA芯片的使用和编程,具备分析和解决实际问题的能力。
知识目标:使学生了解FPGA地铁售票系统的硬件结构和软件设计,掌握数字电路的设计方法和FPGA编程技术。
技能目标:培养学生利用FPGA芯片设计和实现地铁售票系统的实际操作能力,提高学生的创新能力和实践能力。
情感态度价值观目标:培养学生对新技术的敏感性和好奇心,增强学生对科技创新的认同感和责任感,激发学生对所学专业的热爱和敬业精神。
二、教学内容本课程的教学内容主要包括以下几个部分:1.FPGA地铁售票系统的硬件结构:介绍FPGA芯片的基本特性,地铁售票系统的硬件组成及其功能。
2.数字电路设计方法:讲解数字电路的设计原理,包括组合逻辑电路、时序逻辑电路的设计方法和步骤。
3.FPGA编程技术:介绍FPGA芯片的编程语言和编程方法,使学生能够熟练地编写和烧录FPGA程序。
4.地铁售票系统的软件设计:讲解地铁售票系统的软件设计方法,包括界面设计、数据库设计和算法设计等。
5.metro售票系统的测试与验证:介绍地铁售票系统的测试方法,使学生能够对设计的系统进行有效的测试和验证。
三、教学方法为了实现本课程的教学目标,我们将采用以下教学方法:1.讲授法:通过讲解FPGA地铁售票系统的硬件结构、数字电路设计方法、FPGA编程技术等基本知识,使学生掌握相关理论。
2.案例分析法:分析实际案例,使学生了解FPGA地铁售票系统的实际应用,提高学生的实践能力。
3.实验法:安排实验室实践环节,使学生能够动手操作,培养学生的实际操作能力。
4.小组讨论法:学生进行小组讨论,激发学生的思考,培养学生解决问题的能力。
四、教学资源为了保证本课程的顺利进行,我们将准备以下教学资源:1.教材:选用合适的教材,为学生提供全面、系统的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
基于FPGA的自动售货控制系统设计
基于FPGA的自动售货控制系统设计概述
本文介绍了一个基于FPGA的自动售货控制系统的设计。
该系统包括一个电子标签读写器、一个货道控制器和一个运营管理系统。
读写器用于读写货物的信息,货道控制器用于控制货道的状态,运营管理系统用于监控和管理整个系统。
硬件设计
电子标签读写器采用HF RFID技术,能够读取和写入RFID标签中的数据。
货道控制器采用了FPGA芯片,通过IIC总线控制电机的转动,实现货道的开关。
运营管理系统采用了嵌入式系统,通过与FPGA芯片的通信,实现对货道控制器的监控和管理。
软件设计
电子标签读写器的软件采用C语言编写,通过串口通信将读取到的数据发送到FPGA芯片。
货道控制器的软件采用Verilog HDL语言编写,实现IIC总线的接口和电机的控制。
运营管理系统的软件采用Python编写,通过FPGA芯片的通信接口与货道控制器进行通信,实现对货道的状态监控和管理。
应用场景
该自动售货控制系统可以应用于各种场景,如超市、自助售卖机、自动售货机等。
系统支持多种货物类型,可以灵活满足不同需求。
同时,运营管理系统可以实时监控货道的状态,管理货道的补货和维护,提高售货效率和用户体验。
结论
基于FPGA芯片的自动售货控制系统,通过电子标签读写器、货道控制器和运营管理系统的设计,实现了对售货过程的自动控制和管理。
该系统具有高效、灵活等特点,在实际应用中具有广泛的应用前景。
基于FPGA的自动售货机设计
基于FPGA的自动售货机设计
自动售货机最基本的功能就是为顾客提供可供选择的物品并接受付款,然后交付所选物品。
自动售货机还需要具备一些其他的功能,例如在货品数量低于设定值时警报,更新商家的库存信息等。
基于FPGA的自动售货机设计包括以下步骤:
1. 确定自动售货机的物品种类和数量,并选择相应的电机、传感器和显示器等组件。
2. 设计自动售货机的控制电路,包括接口电路、逻辑电路和中央处理器(CPU)等。
其中,FPGA可以作为逻辑电路的核心部件,负责控制整个自动售货机的操作流程。
3. 利用Verilog HDL编写FPGA的逻辑设计,并通过仿真和测试确保正确性和可靠性。
FPGA的逻辑设计应该能够控制电机的旋转、传感器的检测和显示器的显示等操作。
4. 将FPGA的逻辑设计下载到实验板上并测试它的功能和性能。
在测试过程中需要注意电机的工作效率、传感器的准确性和显示器的清晰度等方面的问题。
5. 将整个自动售货机装在一个外壳中,并测试整个系统的可靠性和用户友好性。
在测试过程中需要关注售货机的操作流程、货品存储和取出的方便程度以及付款方式的安全性等方面的问题。
综上所述,基于FPGA的自动售货机设计需要充分考虑到售货机的各个方面,包括物品种类、控制电路、逻辑设计和用户体验等,以提供高质量和可靠性的售货机。
FPGA实验报告 系统设计综合实践 自动售货机
FPGA实验报告系统设计综合实践自动售货机引言本实验报告旨在介绍FPGA实验中关于系统设计综合实践的内容,以及针对自动售货机的设计和实现过程。
本项目的目标是通过FPGA实现一个基本的自动售货机,能够接受货币投入并显示余额,实现商品选择和出货的功能。
设计概述在本项目中,我们使用FPGA作为硬件平台进行实现,采用VHDL语言进行编程。
整个设计主要分为三个模块:货币处理模块、商品选择模块和出货控制模块。
货币处理模块货币处理模块负责接收和处理投入的货币,并显示当前的余额。
具体实现过程如下:1.接收货币输入:使用FPGA板载的GPIO接口连接硬币接收器,将接收到的信息传递给FPGA。
2.处理货币信息:通过计算接收到的货币数量和面额,计算出当前的余额。
3.显示余额:使用FPGA板载的数码管显示当前的余额。
根据计算得到的余额,将其显示在数码管上。
商品选择模块商品选择模块负责接收用户的商品选择,并计算选中商品的价格。
具体实现过程如下:1.接收商品选择:使用FPGA板载的按键输入接口,接收用户按下的相应按键,确定用户选择的商品。
2.计算商品价格:根据用户选择的商品,从预先配置的商品价格表中查找相应的价格。
3.显示选中商品价格:使用FPGA板载的数码管显示选中商品的价格。
根据查找到的价格,将其显示在数码管上。
出货控制模块出货控制模块负责控制商品的出货和相关信息的提示。
具体实现过程如下:1.判断余额是否足够:根据当前余额和选中商品的价格,判断余额是否足够购买商品。
2.控制商品出货:如果余额足够购买商品,则触发相应的出货机构,实现商品的出货。
3.提示用户相关信息:根据出货情况,通过数码管显示相关信息,如余额不足、出货成功等提示。
实验结果经过对上述三个模块的设计和实现,我们成功地完成了自动售货机的基本功能。
在实验过程中,我们选取了几种常见的商品,并配置了相应的价格表。
通过按下相应的按键,用户可以选择购买的商品,并通过投币的方式支付。
东南大学信息学院地铁售票系统VHDL FPGA
基于VHDL的地铁售票模拟系统设计报告东南大学信息科学与工程学院2015-9-23目录1.封面 (1)2.目录 (2)3.导言 (3)4.正文 (4)(1)程序结构介绍 (4)①主进程 (4)②分频进程 (5)③译码显示进程 (5)④键盘选择进程 (5)(2)设计中遇到的问题及解决 (5)5.结论与改进 (6)6.人员及任务分配 (6)7.致谢 (7)8.附录 (7)9.参考文献 (16)一、导言在2015年短学期中,我组成员在‚数字系统课程设计‛课程中成功按题目要求设计完成了目标系统——基于VHDL的地铁售票模拟系统。
本文将介绍我组设计过程与结果。
设计题目如下:设计FPGA 模块模拟地铁售票的工作过程,要求功能描述:用于模仿地铁售票的自动售票,完成地铁售票的核心控制功能。
(1)地铁售票机有两个进币孔,可以输入硬币和纸币,售票机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是1 元的硬币,纸币的识别范围是5 元,10 元,20元。
乘客可以连续多次投入钱币。
(2)乘客买票时可以有两种选择,第一种,乘客已经知道所需费用,直接选择票价,可选2元、3元或4元。
第二种,不知道票价,选择出站口,系统以目的地与当前站的站数来进行计算价格(地铁共有16个站,为0-15号站,当前站为0号站,然后通过判断当前站号与目标站号的差来判断需要的钱数。
差距在4站及其以内,价格为2元,5-10站,价格为3元,11-15站,价格为4元)。
(3)得到票价单价后,选择所需购买的票数,然后进行投币,投入的钱币达到所需金额时,售票机自动出票,并找出余额,本次交易结束,等待下一次的交易。
在投币期间,乘客可以按取消键取消本次操作,钱币自动退出。
我组在基本掌握VHDL语言后,自行设计模块,尽可能地完善了编程的精简度与模块化,同时完成了以上所有功能。
二、正文1、程序结构介绍本系统由四个进程组成,分别为主进程、分频进程、译码显示进程、键盘选择进程组成。
基于FPGA的模拟客运自助售票系统的设计
基于FPGA的模拟客运自助售票系统的设计文章结合实际售票系统运行过程中的需求,开发模拟客运自动售票系统。
设计采用模块化设计方法,基于FPGA使用Verilog HDL语言设计制作一个客运自动售票系统,该系统能完成售票、找零、显示等功能。
标签:自助售票系统;SOPC设计;模块化设计自动售票系统是近年来在计算机等相关技术发展的影响下产生的一项新的技术。
在文章中,我们利用FPGA实现了客运自助售票系统的模拟,FPGA是在作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路的有限的缺点。
1 模拟售票系统实现功能本模拟客运自助售票系统主要实现以下四个功能为主:(1)选择乘车信息。
在选择乘车信息中,终点站有三个选择,即苏州、常州、南京;乘车日期可选择今明后三天;车票类型有全价票、儿童票、军人票(儿童票、军人票半价)三种类型;购买张数有1张、2张、3张选择。
每个车次余票为座位数减去已购买的张数;在购票时,设定提前三天购票,即当天、明天、后天的票,开车前十分钟停止售票。
(2)现金付款。
在现金付款模块中,现金接收口可接收5元、10元、20元、50元、100元。
当所投钱小于所要付票价,确认付款后,显示两个选择:继续投币、取消购票(退币清零,屏幕上显示退回你的钱)。
(3)取票。
取票时,有一LED灯亮。
(4)取找零。
取找零,有一对应LED灯亮,显示找回零钱。
2 控制器硬件设计与实现2.1 控制器组成与工作原理模拟客运自助售票系统由核心处理模块FPGA、人机交互模块、钱币控制模块、出票控制模块等构成,如图1所示。
2.2 核心处理模块FPGA的芯片选用是EP2C50208C8H,属于Altera公司的Cyclone II系列的器件。
FPGA芯片的内部集成了SDRAM、EPCS存储芯片SDRAM控制器内核为FPGA片外的SDRAM提供了一个Avalon-MM接口,用户可以使用SDRAM 控制器将片外的SDRAM芯片连接到自定制的NiosⅡ系统中。
基于FPGA自动售货机设计
contents
目录
• 引言 • FPGA基础 • 硬件设计 • 软件设计 • 测试与验证 • 结论与展望
01 引言
背景介绍
自动售货机是一种自助式销售商品的设备,广泛应用于公共 场所,如车站、机场等。随着科技的发展,自动售货机需要 更加智能化和高效化,以满足人们的需求。
感谢您的观看
05 测试与验证
功能测试
1 2
测试所有预设功能是否正常工作
包括商品选择、支付方式选择、找零、出货等功 能。
测试异常情况下的表现
如无币、无货、故障等情况,看自动售货机是否 能够正确处理。
3
测试用户界面
确保用户界面友好,易于操作。
性能测试
测试自动售货机的响应时间
包括从用户操作到机器反应的时间,以及从支付到出货的时间。
库存管理流程
实时监测商品库存,确保商品 充足,并及时进行补货。
商品选择逻辑
商品列表展示
在自动售货机屏幕上显示所有可售商品的列表。
商品选择方式
用户可以通过点击屏幕、触摸屏或使用按钮选择所需商品。
商品选择验证
验证用户选择的商品是否有效,如检查商品是否在库存中。
支付处理逻辑
支付方式选择
提供多种支付方式供用户选择,如现金、刷卡、 扫码等。
FPGA(现场可编程门阵列)是一种可编程逻辑器件,具有高度 的灵活性和可定制性,能够实现各种数字逻辑功能。基于FPGA 的自动售货机设计可以实现对商品的智能化管理和控制,提高 设备的可靠性和效率。
设计目标
设计一个基于FPGA的自动售货 机控制系统,实现商品的智能化
管理和控制。
实现自动售货机的自动化和智能 化,提高设备的可靠性和效率。
基于FPGA的自动售货机主控制系统的设计与实现
28《信息化纵横》 2009年第14期随着科技的发展及人们生活水平的提高,自动售货机市场的发展呈现出多元化及个性化的需求,通过自动售货机进行自助购物正逐渐成为市民的一种新的消费时尚和追求高品质生活的需要。
传统的自动售货机控制系统采用单片机作为控制核心,不仅需要在输入输出接口上做大量的工作,而且系统的抗干扰性也比较差。
FPGA具有可靠性高、编程简单、维护方便等优点,已在工业控制领域得到广泛应用。
本文详细介绍了一种用FPGA实现的自动售货机主控制系统的硬件设计和软件设计,并进行了软件仿真和硬件设计实验,实验表明该控制系统是可行的。
1 自动售货机系统总体结构自动售货机作为一种完全独立的售货设备,集接收货币、顾客自选商品、售出商品和找零等功能于一体[1]。
具体的说,一个完整的售货机控制系统[2]一般应包含如图1所示的设备和模块。
图1自动售货机主控制器总体设计框图(1)人机交互设备:通过显示设备和输入设备实现,顾客通过使用键盘输入需要购买的商品编号,根据液晶显示器LCD给出的提示信息进行投币、取商品、接受找零等操作;系统管理人员可以使用键盘在显示器所提供的可视化界面下对售货机的各种运行参数进行基于FPGA的自动售货机主控制系统的设计与实现范文萍,吴定雪(黄冈师范学院 计算机科学与技术学院,湖 北 黄 冈 438000)摘 要:从总体上介绍了自动售货机主控制系统的组成和功能,讨论了自动售货机工作原理,详细阐述了主控制系统F P G A的软、硬件设计及其主要控制模块的仿真实现,并编写了系统程序总框图。
实践验证,系统能够在各种条件下持续、平稳、可靠地工作。
关键词:F P G A;自动售货机;硬件设计;软件仿真中图分类号:TP391.8文献标识码:BDesign and implementation of vending machine control systembased on FPGAFAN Wen Ping, WU Ding Xue(Computer Department of Huanggang Normal University, Huanggang 438000,China)Abstract: First of all, the compositions and functions of the vending machine control system is presented, the control fundamental of vending machine are also described. The hardware and software design of the control system based on FPGA is given, and the control system′s main module are simulated in function. The block diagram of the system software is composed. The whole control system demonstrates the capability of working continuously, smoothly, and reliably in various practical applications and conditions.Key words: FPGA; vending machine; hardware design; software simulation《信息化纵横》 2009年第14期29欢迎网上投稿设置;(2)MDB(Multi-Drop Bus / Internal Communication Protocol)通信接口[3]:MDB/ICP协议是欧洲售货机制造者协会制定的一套用于协调自动售货机的主控制器(VMC)与多个外设之间的通信协议,用于协调自动售货机的主控制器(VMC)与多个外设之间的通信,所有外围设备与主控制器之间的通信方式都一致。
基于FPGA的自动售货机控制器设计毕业论文
基于FPGA的自动售货机控制器设计毕业论文目录第1章绪论 (1)1.1自动售货机的起源 (1)1.2自动售货机的现状与发展 (1)1.3自动售货机的研究方向 (2)1.4课题研究任务 (2)1.5 本章小结 (2)第2章开发设计基础 (3)2.1 EDA技术简介 (3)2.2 FPGA简介 (3)2.3 VHDL语言概述 (4)2.3.1 VHDL语言特点 (4)2.3.2 VHDL的优势 (4)2.4 Quartus II软件介绍 (5)2.5 硬件介绍 (5)2.6 本章小结 (6)第3章自动售货机设计方案 (7)3.1 自动售货机整体描述 (7)3.1.1 自动售货机功能要求 (7)3.1.2 自动售货机系统总框图 (7)3.1.3 自动售货机基本流程图 (8)3.2 购物模块 (10)3.2.1 购物模块整体描述 (10)3.2.2 扫描元件 (13)3.2.3 编译元件 (13)3.2.4 数据处理元件 (14)3.2.5 购物数码管译码元件 (15)3.3 定价模块 (15)3.3.1 定价模块整体描述 (16)3.3.2 定价元件 (17)3.3.3 价格BCD译码元件 (18)3.3.4 价格数码管译码元件 (19)3.4 投币模块 (19)3.4.1 投币模块整体描述 (19)3.4.2 投币二进制译码元件 (21)3.4.3 投币BCD译码元件 (22)3.4.4 投币数码管译码元件 (23)3.5 计时模块 (24)3.5.1 计时模块基本流程图 (24)3.5.2 计时模块生成器件 (24)3.5.3 计时模块总功能描述 (24)3.5.4. 仿真结果 (25)3.6 比价模块 (25)3.6.1 比价模块整体描述 (25)3.6.2 比价元件 (27)3.7 复位模块 (30)3.7.1 复位模块生成器件 (30)3.7.2 复位模块总功能描述 (30)3.7.3 仿真结果 (31)3.8 购物显示模块 (32)3.8.1 购物显示模块生成器件 (32)3.8.2 购物显示模块总功能描述 (33)3.8.3 购物显示模块波形仿真 (33)3.9 数码管显示模块 (34)3.10 顶层原理图 (34)3.11 本章小结 (36)第4章下载调试与结果验证 (37)4.1 下载调试 (37)4.2 输出显示 (38)4.2.1所购商品金额大于投币金额 (38)4.2.2所购商品金额等于投币金额 (38)4.2.3所购商品金额小于投币金额 (39)4.2.4有投币无商品选择 (40)4.3 本章小结 (40)第5章总结 (41)参考文献 (42)致谢 (43)基于FPGA的自动售货机控制器设计第1章绪论1.1自动售货机的起源公元前3纪元时,希腊科学家希罗为教堂发放圣水,设制了一个圣水壶。
基于VHDL编程FPGA的地铁自动售票机
基于VHDL编程FPGA的地铁自动售票机地铁自动售票机一、设计要求1、功能描述用于模仿地铁售票自动售票,完成地铁售票的核心控制功能。
2、功能要求售票机有两个进币孔,一个是输入硬币,识别的范围是一元硬币;一个是纸币,识别的范围是一元、两元、五元、十元、二十元。
乘客可以连续屡次投入钱币。
乘客一次只能选择一个出站口,购置车票时,乘客先选出站口,有六个出站口可供选择,再选择所需的票数,然后投币,投入的钱币到达或者超过所需金额时,售票机自动出票,并找零。
本次交易结束后,等待下一次交易。
在选择出站口、所需票数以及在投币期间,乘客可以按取消键取消操作,钱币自动退出。
二、实验分析1、买票时,乘客按下开始键,售票机进入站台选择程序,乘客选择出站口后,可以按取消键重新选择,否那么售票机自动进入票数选择程序,同样这时可以按下取消键重新开始选择出站口以及票数。
2、中选择好出站口以及所需票数时,乘客可以投硬币或者用纸币,当所投的钱币总额大于或者等于票价时,售票机自动出票以及找零。
期间,可以按下取消键重新开始选择,并退出所有的钱币。
3、乘客假设还没选择出站口或者票数,就投币或者使用纸币,售票时机自动退出所有的钱币。
4、有六个站台可供乘客选择,每个乘客最多可以买3张票,六个站台编号为1到6,票价从2元依次递增到7。
三、系统流程图四、程序源代码LIBRARY IEEE;USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY metrosell IS PORT(clk:in std_logic; startselect:in std_logic; sure:in std_logic; save your forward step(s)coin1y:in std_logic; pmoney1y:in std_logic; pmoney2y:in std_logic; pmoney5y:in std_logic; pmoney10y:in std_logic; moneypmoney20y:in std_logic; moneycancel:in std_logic; number:in std_logic_vector(3 downto 0); the ticketsplatform:in std_logic_vector(3 downto 0); want to reachmoneystorage:out std_logic; acceptmo:out std_logic; stamp:outstd_logic; --set the clock signal --start to select the platform --this button is to --1 yuan coin--1 yuan paper money --2 yuan paper money --5 yuan paper money --10 yuan paper --20 yuan paper --cancel the forward step(s) --choose the number of --choose the platform you --to store the money --accept the money --stamp outgatecharge:out std_logic_vector(3 downto 0); --the mount of charge,up to 15 yuanchargegate:out std_logic --charge outgate ); END metrosell;ARCHITECTURE sell OF metrosell IStype state_type is(initial_type,selectp_type,selectnum_type,insert_type,stamp_type,char ge_type); --define six typessignal state:state_type; --define a shared state BEGINmain:process(clk,state,startselect,platform,number,coin1y,pmoney1y,pm oney2y,pmoney5y,pmoney10y,pmoney20y,cancel,sure)variable univalence :integer range 0 to 7; --the univalence of the ticket variable total_money :integer range 0 to 21; --the price of theticket(s) variable selectp_alr:std_logic; --the flag of select platform typevariable selectnum_alr:std_logic; --the flag of select number type variable stamp_alr:std_logic; --the flag of the stamp gate variable charge_alr:std_logic; --the flag of the charge gatevariable money_reg:integer range 0 to 21; --the mount of money put in variable coin1y_f:std_logic; --the flag of one yuan coin variable pmoney1y_f:std_logic; --the flag of one yuan paper moneyvariable pmoney2y_f:std_logic; --the flag of two yuan paper money variable pmoney10y_f:std_logic; --the flag of ten yuan paper money variable pmoney20y_f:std_logic; --the flag of twelve yuan paper money variable pmoney5y_f:std_logic; --the flag of five yuan paper money variable charge_reg:integer range 0 to 15; beginif(rising_edge(clk)) then case state iswhen initial_type => variablesunivalence:=0; selectp_alr:='0'; selectnum_alr:='0'; stamp_alr:='0'; charge_alr:='0'; money_reg:=0; total_money:=0; coin1y_f:='0';pmoney1y_f:='0'; pmoney2y_f:='0'; pmoney5y_f:='0';--the register of charge --initialize some pmoney10y_f:='0';pmoney20y_f:='0'; moneystorageif(selectp_alr='0'and cancel='0') then --choose the platformif(platform=\ elsif(platform=\ elsif(platform=\ elsif(platform=\elsif(platform=\ elsif(platform=\ elsif(platform=\ else null; end if; elsif(selectp_alr='1'and cancel='1')then state。
基于FPGA的自动售货机设计
目录摘要 (II)ABSTRACT................................................................................................................................ I II 第一章引言 (1)1.1自动售货机的简介 (1)1.2本课题研究的背景及意义 (1)1.3 设计方案 (1)第二章 FPGA自动售货机系统设计 (2)2.1 自动售货机的工作原理 (2)2.2 自动售货机的系统框图设计 (2)2.3 具体的实施方案 (3)第三章芯片的选择和介绍 (4)3.1 芯片选择 (4)3.2 CycloneⅡ系列器件概述 (4)3.3 CycloneⅡ系列器件的下载设计 (4)第四章自动售货机的硬件电路设计 (6)4.1 Altium Designer 6.0 简述 (6)4.2 FPGA芯片主模块电路设计 (6)4.2.1电源电路 (6)4.2.2 时钟信号电路 (7)4.2.3 下载配置电路 (8)4.2.4 I/O端口引出电路 (8)4.3外围电路 (9)4.3.1 开关按键电路 (9)4.3.2 显示模块电路设计 (10)4.3.3外围电路端口电路 (11)4.4 硬件电路的总原理图和PCB图 (12)4.4.1 FPGA芯片主模块总原理图和PCB图 (12)4.4.2 外围电路总原理图和PCB图 (12)第五章硬件电路的调试 (13)5.1 电路板的测试 (13)5.1.1 外围电路测试 (13)5.1.2 FPGA主模块电路板测试 (14)5.2 程序调试 (15)结论 (19)参考文献 (20)附录 (21)致谢 (23)摘要在当今国内外电子科技高速发展的今天,FPGA已经成为当今电子设计的一个主流。
FPGA 利用它的现场可编程特性,将原来的电路板级产品集成为芯片级产品,缩小体积,缩短系统研制周期,方便系统升级,具有容量大、逻辑功能强,而且兼有高速、高可靠性的优点。
基于FPGA的自动售货机设计
毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。
尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。
对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。
作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。
作者签名:日期:学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。
除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。
对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。
本人完全意识到本声明的法律后果由本人承担。
作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。
本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名:日期:年月日导师签名:日期:年月日注意事项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。
地铁自动售票机(基于FPGA)设计
地铁自动售票机(基于FPGA)西安电子科技大学08级集成电路设计与集成系统专业井站14082094尹俊镖14082108潘志友14082068李波14082117一功能需求1 市场目标本工程设计是基于FPGA设计一个地铁自动售票系统。
近年来,集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展,电子设计自动化EDA技术成为电子设计工程师的新宠。
然而随着城市人口的迅速增加、车辆的增多,世界各地的城市交通变得愈发拥挤,地铁成为城市交通的重要组成部分。
随着国民经济的发展,我国的地铁建设也日益成为人们日常生活中不可或缺的一部分。
作为地铁运营服务的第一道关,改善地铁已逐渐落后于时代步伐的售票系统势在必行。
本系统是结合行业管理中实际的地铁售票系统运行过程中的需求过程,经过实际的需求分析,开发出来的地铁自动售票系统。
整个系统的设计从符合操作简便快捷、灵活实用、保证稳定安全的要求出发,完成售票管理的基本过程。
2 功能定义通过本设计,正确掌握数字系统的模块划分、并能自如应用硬件描述语言描述各模块功能,以实现系统设计。
以Verilog语言和Quartue II,Modlesim为工具,实现了地铁自动售票系统。
系统划分为几个功能模块,分模块进行分析和设计,系统给出相应的设计原理图和Verilog源程序,通过仿真实现预定的功能。
本组成员参与设计的自动售票机,就其功能特点来说,可以归纳如下:1)该自动售票系统可对单价为3元、4元、5元,6元四种票售票。
2)能选择买1张或2张票;3)有1元,5元、10元,20元四个投币口。
当投入的钱币与选择的票款相等时,送出车票;若投入的钱币不够,1分钟后,退出钱币,无票送出;若投入的钱币超过票款,能自动找赎。
4)系统显示选择所选票单价面额、购买的票数、投入的钱币额及找赎的钱额。
3 应用案例在广州地铁、北京地铁、南京地铁等全国十多条城市轨道交通线路都能见到广电运通自动售票机的身影。
作为目前中国最具有成长力的AFC(自动售检票系统)设备提供商,广电运通在轨道交通领域已取得了众多成功案例。
基于FPGA的自动售货机控制系统
基于FPGA的自动售货机控制系统摘要伴随着我国现代化进程的不断深入以及生活节奏的不断加快,如何能够快速、高效、便捷的购物也成为了商家和顾客不得不面临的一个问题。
自动售货机作为一种不同于传统的零售方式,以其不受时间地点限制、省时省力的优点,迅速的成为了人们的首选。
本课题主要是用EDA技术来实现对自动售货机控制系统的设计。
EDA技术以其特有的有开发周期短、设计成本低、运行速度快等天然优势,使开发出的产品可以迅速的投放到市场上去。
该课题主要是基于FPGA内部电路的编程设计,使用硬件描述语言(VHDL)对自动售货机控制系统进行描述,以Altera公司的EP1K30QC208-3芯片为目标器件详细阐述了自动售货机控制系统整体的设计思路以及工作原理,并且对自动收货机控制系统实现的货物信息的存储、进程控制、硬币处理、余额计算和显示功能加以仿真调试。
通过仿真调试,基于可编程器件FPGA 的自动售货机控制系统的设计基本达到了预期目标。
关键词:EDA,FPGA,自动售货机,VHDLVending machine control system based onFPGAAbstractWith the deepening of China's modernization process and the accelerating pace of life, it has become a problem for businesses and consumers to face that how to fast, efficient, convenient shopping. As a way different from the traditional retail, vending machines are not restricted by time and place, So vending machines quickly became the first choice for shopping election. The main topic is the use of EDA technology to achieve the vending machine control system design. EDA technology has a short development cycle, lower design costs, faster running speed, etc. That products can be developed rapidly to market upswing.The main topic is programming based on FPGA. Using hardware description language (VHDL) to describe the vending machine control system. Altera's EP1K30QC208-3 chip as the target device elaborated vending machine control system as well as the overall design concept works, and automatic control of receipt storing cargo information system implementation, process control, coin handling, balance calculation and display capabilities to simulation debugging. Through simulation debugging FPGA-based programmable device vending machine controller design basically achieve the desired goals.Key Words:EDA; FPGA; Vending machine; VHDL目录摘要 (1)Abstract (2)第一章引言 (1)1.1 自动售货机的发展历史 (1)1.2 自动售货机的发展现状 (1)1.3 自动售货机控制系统研究的目的和意义 (2)1.4 课题主要研究工作 (2)第二章关键技术 (3)2.1 EDA技术简介 (3)2.2 FPGA简介 (3)2.3 VHDL简介 (3)第三章系统硬件介绍 (5)3.1 KH310实验箱简单介绍 (5)3.2 时钟单元 (5)3.3 数码管显示单元 (5)第四章程序设计 (7)4.1 功能要求 (7)4.2 系统内部模块设计 (7)4.3 系统外部界面设计 (7)4.4 工作流程图 (8)4.5 系统各功能模块 (9)4.5.1 分频模块 (9)4.5.2 显示模块 (12)4.5.3 扫描模块 (14)4.5.4 主控模块 (16)第五章仿真调试 (21)5.1 顶层模块 (21)5.2 管脚分配.............................................................................................. 错误!未定义书签。
fpga课程设计自动售货机
fpga课程设计自动售货机一、教学目标本课程旨在通过学习FPGA课程设计自动售货机,使学生掌握以下知识目标:1.理解自动售货机的基本工作原理和结构。
2.学习FPGA的基本设计和编程方法。
3.掌握自动售货机的控制逻辑和接口设计。
4.能够使用FPGA开发板进行基本设计和编程。
5.能够独立完成自动售货机控制逻辑的设计和实现。
6.能够对自动售货机进行调试和优化。
情感态度价值观目标:1.培养学生的创新意识和实践能力。
2.培养学生的团队合作意识和沟通能力。
3.培养学生的自主学习和解决问题的能力。
二、教学内容本课程的教学内容主要包括以下几个部分:1.FPGA的基本概念和设计方法。
2.自动售货机的基本工作原理和结构。
3.自动售货机的控制逻辑和接口设计。
4.FPGA编程和调试方法。
第一周:FPGA的基本概念和设计方法。
第二周:自动售货机的基本工作原理和结构。
第三周:自动售货机的控制逻辑和接口设计。
第四周:FPGA编程和调试方法。
第五周:项目实践和总结。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法,包括:1.讲授法:用于讲解FPGA的基本概念和设计方法,自动售货机的基本工作原理和结构,以及控制逻辑和接口设计等理论知识。
2.案例分析法:通过分析实际案例,使学生更好地理解和掌握FPGA设计和编程的方法。
3.实验法:通过动手实践,使学生能够独立完成自动售货机的控制逻辑设计和实现,并掌握FPGA编程和调试方法。
4.小组讨论法:通过分组讨论和合作,培养学生的团队合作意识和沟通能力。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将选择和准备以下教学资源:1.教材:选用《FPGA设计与应用》作为主教材,辅助以相关论文和案例分析资料。
2.实验设备:准备FPGA开发板和相关实验设备,供学生进行实践操作。
3.多媒体资料:制作PPT和教学视频,用于辅助讲解和演示。
4.在线资源:提供在线编程环境和调试工具,方便学生进行自主学习和实践。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
7.观察仿真波形;
步骤6:建立元件
步骤7:引脚锁定
步骤8:编程下载
在上述步骤都正确实施并完全通过以后,我们就可以将设计好的项目整体下载到器件当中去,然后加入实际的激励信号来进行测试。如果最终还未达到我们设计的要求,则需从新返回以上步骤逐步查找设计问题直至准确无误【311。
2.2系统总体方案设计
自动售票机是AFC系统的重要组成部分,也是终端设备之一,主要的功能是实现自动售票。本设计选择的是利用FPGA芯片作为主控单元,从大的部分来看,系统内部主要是由主控单元、财务处理设备、票务处理设备和其
步骤4:选择目标器件全程综合并编译
这是工程设计的最重要的部分。在编译和处理中,通过编译设置对一个项目的文件进行逻辑化简、综合和优化,并随机地选用一片或多片器件进行自动适配。
步骤5:设计时序仿真
1.对项目进行仿真设置;
2.建立仿真波形文件;
3.设置仿真参数;
4.将工程端口信号节点选入波形编辑器中;
5.编辑输入波形(输入激励信号);
出来的过程称为设计输入。通常有下述四种表达方式:
1.原理图输入方式;
2.硬件描述语言输入方式将要设计的项目设置成为工程文件
为了使Quartus II能够对输入的设计项目按照设计者的要求进行各项处理,必须将设计文件设置成当前的工程。